Presentation is loading. Please wait.

Presentation is loading. Please wait.

X-ray Lithography Scott Allen Physics Department University of Guelph physical synthesis of nanostructures 20 nm 60 nm Chen et al., Electrophoresis, 2001.

Similar presentations


Presentation on theme: "X-ray Lithography Scott Allen Physics Department University of Guelph physical synthesis of nanostructures 20 nm 60 nm Chen et al., Electrophoresis, 2001."— Presentation transcript:

1 X-ray Lithography Scott Allen Physics Department University of Guelph physical synthesis of nanostructures 20 nm 60 nm Chen et al., Electrophoresis, 2001

2 Outline What are x-rays? production of x-rays x-ray lithography (XRL) mask production What is lithography? x-ray interactions Summary

3 Lithography the exposure and development of a pattern in a radiation sensitive film (called a resist) – typically a polymer lithography is generally followed by a process which transfers the pattern from the resist to a substrate via etching, growth of a material in the interstices of the resist, or doping

4 What are x-rays? Wilhelm Conrad Roentgen (1845-1923) discovered an unknown ray in 1895 (X-ray) won the first Nobel prize in physics in 1901 experimenting with evacuated tubes that he would fill with specific gases and then pass electricity through Roentgen found that despite shrouding the tube in black paper (as it let off a glow similar to our incandescent bulbs) it somehow caused a barium platinocyanide-coated screen to glow http://www.xray.hmc.psu.edu/rci/ss1/img0003.JPG http://pt.wikipedia.org/wiki/Imagem:Roentgen-x-ray-von-kollikers-hand.jpg

5 Where are x-rays? x-rays are light ( = 10 nm to 0.01 nm) http://lasp.colorado.edu/cassini/images/Electromagnetic%20Spectrum.jpg

6 x-ray interactions x-rays interact with matter through the excitation or ionization of atomic electrons absorption of high energy x-rays causes the creation of photoelectrons (core shell holes) but does not lead directly to resist modification Cerrina, J Phys D, 2000 photoelectrons and auger electrons are responsible for modification of resists relaxation is what leads to material modifications energy release of a higher lying electron via x-ray fluorescence Auger effect – higher lying electron transfers energy to another atomic electron, which is then ejected eds. Suzuki, et al., “Sub-Half-Micron Lithography for ULSIs”, 2000

7 Producing x-rays – synchrotron radiation capable of providing continuous source of soft and hard x-rays uses a magnetic field to cause an electron beam to follow a circular orbit at velocities near the speed of light, the orbiting electron’s emitted radiation will become sharply peaked in the forward direction Wilson et al., IBM J Res Develop, 1993

8 Synchrotron other methods of x-ray production exist (electron beam bombardment, laser plasma, etc.) plasma debris, insufficient intensity, blur, etc. Wilson et al., IBM J Res Develop, 1993

9 x-ray lithography is a 1 X lithography (shadow) technique therefore mask features must be of the order of the feature dimensions that are required therefore great effort must be put into creating high precision masks and properly aligning them traditional lens systems are unavailable (no demagnification) XRL resolution is determined mainly by Fresnel diffraction and scattering of secondary electrons in the resist D. L. Spears and H. I. Smith, “High-Resolution Pattern Replication Using Soft X-Rays,’’ Electron. Lett. 8, 102 (1972).

10 x-ray masks substrate must also be rigid to prevent distortion must be transparent to x-rays (low atomic number) support silicon-nitride glass absorber must be absorbant to x-rays (high atomic number) Au, W, Ta or one of their alloys concerns: non-uniformity of stress in x-ray absorber, pattern placement error in the E-beam lithography, damage due to x-ray irradiation Peckerar, Proc. IEEE, 1993

11 Production Cerrina, J Phys D, 2000 beam scanned over mask fresnel diffraction precise gap must be maintained f = w 2 / g f – fresnel number w – feature size – x-ray wavelength g – gap spacing 100 nm features require (  = 1 nm, g = 10  m with f = 1)

12 Summary mask production seems to be the limiting step in the technology (no demagnification optics possible) minimum feature size is dependent upon a number of factors synchrotron production of x-rays is the most favorable expensive to produce materials used, wavelength of x-ray, gap spacing

13 Future Kitayama et al., J Vac Sci Technol B, 2000Khan et al., J Vac Sci Technol B, 2001 lithography technology driven by semiconductor manufacturing INTEL’s lithography roadmap proposes the extension of extreme ultra violet (EUV – 13.5 nm) lithography down to 45nm feature generation in order for x-ray lithography to become industrially relevent and not purely the domain of research it must become economically favorable at feature sizes below 45nm this means a deviation from the exact technology described here but using similar principles the 20 nm regime is accesible

14 thanks Any questions?

15 mask fabrication Cerrina, J Phys D, 2000

16 http://www.medical.philips.com/main/products/xray/assets/images/rad/unique/DR_L_spine_02_large.jpg http://www.thebritishmuseum.ac.uk/science/images/femurc14.gif


Download ppt "X-ray Lithography Scott Allen Physics Department University of Guelph physical synthesis of nanostructures 20 nm 60 nm Chen et al., Electrophoresis, 2001."

Similar presentations


Ads by Google