Workshop Summary FMCAD 2006. Discussion Items Benchmarks HW vs SW verif differences (to help sharpen our agenda) –use of abstractions in HW verif –explicit.

Slides:



Advertisements
Similar presentations
Copyright 2000 Cadence Design Systems. Permission is granted to reproduce without modification. Conclusion Summary Research trends Resources.
Advertisements

Murφ: A Retrospective Prof. David L. Dill Department of Computer Science Stanford University
Formal Methods in System Design University of Utah School of Computing Ganesh Gopalakrishnan, Instructor Greg Szubzda, half-TA
ECOE 560 Design Methodologies and Tools for Software/Hardware Systems Spring 2004 Serdar Taşıran.
Hierarchical Cache Coherence Protocol Verification One Level at a Time through Assume Guarantee Xiaofang Chen, Yu Yang, Michael Delisi, Ganesh Gopalakrishnan.
Transaction Based Modeling and Verification of Hardware Protocols Xiaofang Chen, Steven M. German and Ganesh Gopalakrishnan Supported in part by SRC Contract.
Transaction Based Modeling and Verification of Hardware Protocols Xiaofang Chen, Steven M. German and Ganesh Gopalakrishnan Supported in part by Intel.
Extracting models from design documents with Mapster David James, Tim Leonard, John O’Leary, Murali Talupur, Mark R. Tuttle Intel We build formal models.
What are Formal Verification Methods Mathematically based languages, techniques and tools for specifying and verifying systems Language – Clear unambiguous.
APS Teacher Evaluation Module 7: Preparing for My Mid-Year Conversation.
© Chinese University, CSE Dept. Software Engineering / Software Engineering Topic 1: Software Engineering: A Preview Your Name: ____________________.
ECE 720T5 Fall 2012 Cyber-Physical Systems Rodolfo Pellizzoni.
Reporter:PCLee With a significant increase in the design complexity of cores and associated communication among them, post-silicon validation.
1 Speed, Drunkenness, and the Wall Does High Level Design/ESL Make Sense? Kris Konigsfeld Sr. Principal Engineer Oregon CPU Architecture Intel Corporation.
Game Design Serious Games Miikka Junnila.
Software Reliability CIS 640 Adapted from the lecture notes by Doron Pelel (
1 Formal Methods in SE Qaisar Javaid Assistant Professor Lecture 05.
Leveraging Assertion Based Verification by using Magellan Michal Cayzer.
May 11, ACL2 Panel: What is the Future of Theorem Proving? Arvind Computer Science & Artificial Intelligence Laboratory.
Timing Predictability - A Must for Avionics Systems - Reinhard Wilhelm Saarland University, Saarbrücken.
1 Scaling Formal Methods toward Hierarchical Protocols in Shared Memory Processors: Annual Review Presentation – April 2007 Presenters: Ganesh Gopalakrishnan.
Design For Verification Synopsys Inc, April 2003.
Scaling Formal Methods Toward Hierarchical Protocols in Shared Memory Processors Presenters: Ganesh Gopalakrishnan and Xiaofang Chen School of Computing,
Verification of Hierarchical Cache Coherence Protocols for Future Processors Student: Xiaofang Chen Advisor: Ganesh Gopalakrishnan.
Vermelding onderdeel organisatie October 15, ”There’s more to see than can even be seen, …” Jaap Zevenbergen, WG 2 coordinator OTB, Delft University.
The Rare Glitch Project: Verification Tools for Embedded Systems Carnegie Mellon University Pittsburgh, PA Ed Clarke, David Garlan, Bruce Krogh, Reid Simmons,
Scaling Formal Methods Toward Hierarchical Protocols in Shared Memory Processors Presenters: Ganesh Gopalakrishnan and Xiaofang Chen School of Computing,
1 Reducing Verification Complexity of a Multicore Coherence Protocol Using Assume/Guarantee Xiaofang Chen 1, Yu Yang 1, Ganesh Gopalakrishnan 1, Ching-Tsun.
Transaction Based Modeling and Verification of Hardware Protocols Xiaofang Chen, Steven M. German and Ganesh Gopalakrishnan Supported in part by SRC Contract.
Formal Verification of SpecC Programs using Predicate Abstraction Himanshu Jain Daniel Kroening Edmund Clarke Carnegie Mellon University.
Formal Techniques for Verification Using SystemC By Nasir Mahmood.
Advances in Language Design
CENTRE FOR FORMAL DESIGN AND VERIFICATION OF SOFTWARE
Hardware Verification Group: Introduction Prof. Sofiene Tahar Prof. Sofiene Tahar Department of Electrical and Computer Engineering Concordia University.
Copyright 2002 Prentice-Hall, Inc. Chapter 1 The Systems Development Environment 1.1 Modern Systems Analysis and Design.
ECE 720T5 Winter 2014 Cyber-Physical Systems Rodolfo Pellizzoni.
Software Quality Assurance Activities
Science of Security Experimentation John McHugh, Dalhousie University Jennifer Bayuk, Jennifer L Bayuk LLC Minaxi Gupta, Indiana University Roy Maxion,
Evaluation of software engineering. Software engineering research : Research in SE aims to achieve two main goals: 1) To increase the knowledge about.
S Q A.
Uncovering the Multicore Processor Bottlenecks Server Design Summit Shay Gal-On Director of Technology, EEMBC.
1 Automatic Non-interference Lemmas for Parameterized Model Checking Jesse Bingham, Intel DEG FMCAD 2008.
Robust Low Power VLSI ECE 7502 S2015 Fault Diagnosis and Logic Debugging Using Boolean Satisfiability ECE 7502 Class Discussion Benjamin Melton Thursday.
Formal Techniques for SystemC Verification: Position Paper Moshe Y. Vardi Rice University.
TEMPLATE DESIGN © Hardware Design, Synthesis, and Verification of a Multicore Communication API Ben Meakin, Ganesh Gopalakrishnan.
ESL and High-level Design: Who Cares? Anmol Mathur CTO and co-founder, Calypto Design Systems.
2015/10/22\course\cpeg323-08F\Final-Review F.ppt1 Midterm Review Introduction to Computer Systems Engineering (CPEG 323)
Experiments in computer science Emmanuel Jeannot INRIA – LORIA Aleae Kick-off meeting April 1st 2009.
1 Introduction to Software Engineering Lecture 1.
Lach1MAPLD 2005/241 Accessible Formal Verification for Safety-Critical FPGA Design John Lach, Scott Bingham, Carl Elks, Travis Lenhart Charles L. Brown.
Unique Methodology. Highest Coverage. Fastest Time to Market. Formal Verification in the Industry: a 2020 Vision VIGYAN SINGHAL Oski Technology.
Welcome Remarks Ravi Rajwar Workshop on Transactional Memory Systems Chicago, April 8, 2005.
CIS 842: Specification and Verification of Reactive Systems Lecture 1: Course Overview Copyright 2001, Matt Dwyer, John Hatcliff, and Radu Iosif. The.
1 Giving the Gorilla Some Brains: How Can Formal Complement Simulation? FMCAD Panel Discussion November 14, 2006 Andreas Kuehlmann.
CSC 532 Term Paper Topic decision: 10/10/02 This presentation will probably involve audience discussion, which will create action items. Use PowerPoint.
- 1 - ©2009 Jasper Design Automation ©2009 Jasper Design Automation JasperGold for Targeted ROI JasperGold solutions portfolio delivers competitive.
Whither Formal? Moshe Y. Vardi Rice University. Ongoing Challenge: Complexity  We have only two ways to deal with increased complexity: Abstraction Tools.
February 22-25, 2010 Designers Work Less with Quality Formal Equivalence Checking by Orly Cohen, Moran Gordon, Michael Lifshits, Alexander Nadel, and Vadim.
September 1999Compaq Computer CorporationSlide 1 of 16 Verification of cache-coherence protocols with TLA+ Homayoon Akhiani, Damien Doligez, Paul Harter,
6.175 Project Presentation Tony (Sen) Chang Antonio Rivera.
Agenda  Quick Review  Finish Introduction  Java Threads.
Lesson 3.1 Review: audible tri-tone Write a snap script that… Asks the user to enter 3 numbers corresponding to tone values Checks for valid inputs and.
Group #3: Mobility Models and Mobile Testbeds. The Models Motion, Traffic, Network.
Session 1: Technology Development August 15 NSF Workshop.
April 15, 2013 Atul Kwatra Principal Engineer Intel Corporation Hardware/Software Co-design using SystemC/TLM – Challenges & Opportunities ISCUG ’13.
Independent Study of Ontologies
Michael D. Jones, Ganesh Gopalakrishnan
Cyberinfrastructure – the NEOS Project
Experience based Technique for learning
Alon Flaisher Alon Gluska Eli Singerman Intel Corporation
Presentation transcript:

Workshop Summary FMCAD 2006

Discussion Items Benchmarks HW vs SW verif differences (to help sharpen our agenda) –use of abstractions in HW verif –explicit vs implicit –impact on ability to dial-down (like Roope said) –Whether we have to have specialists who will focus on diff func block types HW verif is by no means a solved problem!

Benchmarks What is a good set –not too big –well documented –encourage papers on them? Who has what? –Ganesh: Hier. cache coh protocols (2 of them, Murphi) (Soon): VHDL-level models of the German protocol –Sudarshan Srinivasan’s CPU benchmarks: what do we do with these benchmarks Identify verification issues –Properties end to end verified is not the important thrust End-to-end verification experience of engineers –With and without bugs –Inject high-quality bugs Inject industrial-like “ugliness” into them

Benchmarks Who has what? – TRIPS benchmark from UT (multicore benchmark) –VAMP processor Unit-by-unit verification PVS theorems around each unit are available –Isabelle theorems also available Jun’s 9801 verification

Benchmarks(a) Power / clock-gating, Take non-triv complex designs out there –What types? Open-cores? Take IBM/Intel/Other experts Take their help to massage and make them “real enough” Put it out there for FV guys to attack –Have tool competitions What tool can solve the problem at all.. not performance (CJacobi) Methodology will be interesting to study (JBaum.) –Reward for working on benchmarks Recognize thru papers accepted at FMCAD

Benchmarks(b) John O: –SRC to fund benchmarks since it’s such an important issue –Verif methodology is THE issue. Helps turn “art” into Engineering Pete: –NSF: can it work towards –Benchmark development – can it be funded at 200K/2y

Benchmarks(b) John O: –SRC to fund benchmarks since it’s such an important issue –Verif methodology is THE issue. Helps turn “art” into Engineering Pete: –NSF: can it work towards –Benchmark development – can it be funded at 200K/2y

The community interested in processor-like problems Clarke’s Pete’s Mark Aagaard’s Ganesh’s Karem W Hunt’s J Moore’s Wolfgang Arvind – Joe Stoy, Nikhil, … Germany – 7 or 8 groups + Europe + UK –W. Kunz –Gordon –Hans Eveking Tom Melham Sheeran

Topics of interest (and could be represented in benchmarks) Verification of microarchitecture –How do we describe it? SystemC is the present choice in many works… BSV? Synchronous Murphi (Transaction level…) under development… Common characteristic: Guarded Command notations, it seems –Link with RTL Links between functional and peformance models

Topics of interest (contd..) Microcode verification –How to approach –Microarch + microcode verif Invite Eli Singerman to talk abt it? (aside: Sixthsense has modern reduction techniques like Interpolation, BDDs rendered usable...) (aside: Rulebase experience also similar, callable) (STE: so far BDDs + elbow grease + TP…)

Other topics of interest Post-Si verif : how can formal models help? Trace array: how to –break and snapshot –backward bounded model-checking