1 ROAD : An Order-Impervious Optimal Detailed Router Hasan Arslan, Shantanu Dutt Electrical & Computer Eng. University of Illinois at Chicago ICCD 2003.

Slides:



Advertisements
Similar presentations
Porosity Aware Buffered Steiner Tree Construction C. Alpert G. Gandham S. Quay IBM Corp M. Hrkic Univ Illinois Chicago J. Hu Texas A&M Univ.
Advertisements

Ispd-2007 Repeater Insertion for Concurrent Setup and Hold Time Violations with Power-Delay Trade-Off Salim Chowdhury John Lillis Sun Microsystems University.
~1~ Infocom’04 Mar. 10th On Finding Disjoint Paths in Single and Dual Link Cost Networks Chunming Qiao* LANDER, CSE Department SUNY at Buffalo *Collaborators:
Native-Conflict-Aware Wire Perturbation for Double Patterning Technology Szu-Yu Chen, Yao-Wen Chang ICCAD 2010.
A Regularity-Driven Fast Gridless Detailed Router for High Frequency Datapath Designs By Sabyasachi Das (Intel Corporation) Sunil P. Khatri (Univ. of Colorado,
A Routing Technique for Structured Designs which Exploits Regularity Sabyasachi Das Intel Corporation Sunil P. Khatri Univ. of Colorado, Boulder.
Xing Wei, Wai-Chung Tang, Yu-Liang Wu Department of Computer Science and Engineering The Chinese University of HongKong
Wen-Hao Liu1, Yih-Lang Li, and Cheng-Kok Koh Department of Computer Science, National Chiao-Tung University School of Electrical and Computer Engineering,
EXPLORING HIGH THROUGHPUT COMPUTING PARADIGM FOR GLOBAL ROUTING Yiding Han, Dean Michael Ancajas, Koushik Chakraborty, and Sanghamitra Roy Electrical and.
A Depth-First-Search Controlled Gridless Incremental Routing Algorithm for VLSI Circuits Hasan Arslan and Shantanu Dutt Electrical & Computer Eng. University.
Coupling-Aware Length-Ratio- Matching Routing for Capacitor Arrays in Analog Integrated Circuits Kuan-Hsien Ho, Hung-Chih Ou, Yao-Wen Chang and Hui-Fang.
38 th Design Automation Conference, Las Vegas, June 19, 2001 Creating and Exploiting Flexibility in Steiner Trees Elaheh Bozorgzadeh, Ryan Kastner, Majid.
ER UCLA UCLA ICCAD: November 5, 2000 Predictable Routing Ryan Kastner, Elaheh Borzorgzadeh, and Majid Sarrafzadeh ER Group Dept. of Computer Science UCLA.
Technology Mapping.
TH EDA NTHU-CS VLSI/CAD LAB 1 Re-synthesis for Reliability Design Shih-Chieh Chang Department of Computer Science National Tsing Hua University.
VLSI Routing. Routing Problem  Given a placement, and a fixed number of metal layers, find a valid pattern of horizontal and vertical wires that connect.
Routing 2 Outline –Maze Routing –Line Probe Routing –Channel Routing Goal –Understand maze routing –Understand line probe routing.
Lecture 5: FPGA Routing September 17, 2013 ECE 636 Reconfigurable Computing Lecture 5 FPGA Routing.
CDCTree: Novel Obstacle-Avoiding Routing Tree Construction based on Current Driven Circuit Model Speaker: Lei He.
General Routing Overview and Channel Routing
CSE 242A Integrated Circuit Layout Automation Lecture: Global Routing Winter 2009 Chung-Kuan Cheng.
Introduction to Routing. The Routing Problem Apply after placement Input: –Netlist –Timing budget for, typically, critical nets –Locations of blocks and.
MGR: Multi-Level Global Router Yue Xu and Chris Chu Department of Electrical and Computer Engineering Iowa State University ICCAD
A Topology-based ECO Routing Methodology for Mask Cost Minimization Po-Hsun Wu, Shang-Ya Bai, and Tsung-Yi Ho Department of Computer Science and Information.
Authors: Jia-Wei Fang,Chin-Hsiung Hsu,and Yao-Wen Chang DAC 2007 speaker: sheng yi An Integer Linear Programming Based Routing Algorithm for Flip-Chip.
Global Routing. Global routing:  To route all the nets, should consider capacities  Sequential −One net at a time  Concurrent −Order-independent 2.
MASSOUD PEDRAM UNIVERSITY OF SOUTHERN CALIFORNIA Interconnect Length Estimation in VLSI Designs: A Retrospective.
Global Routing.
1 Coupling Aware Timing Optimization and Antenna Avoidance in Layer Assignment Di Wu, Jiang Hu and Rabi Mahapatra Texas A&M University.
Network Aware Resource Allocation in Distributed Clouds.
TSV-Aware Analytical Placement for 3D IC Designs Meng-Kai Hsu, Yao-Wen Chang, and Valerity Balabanov GIEE and EE department of NTU DAC 2011.
Solving Hard Instances of FPGA Routing with a Congestion-Optimal Restrained-Norm Path Search Space Keith So School of Computer Science and Engineering.
1 Global Routing Method for 2-Layer Ball Grid Array Packages Yukiko Kubo*, Atsushi Takahashi** * The University of Kitakyushu ** Tokyo Institute of Technology.
Wen-Hao Liu 1, Yih-Lang Li 1, and Kai-Yuan Chao 2 1 Department of Computer Science, National Chiao-Tung University, Hsin-Chu, Taiwan 2 Intel Architecture.
March 20, 2007 ISPD An Effective Clustering Algorithm for Mixed-size Placement Jianhua Li, Laleh Behjat, and Jie Huang Jianhua Li, Laleh Behjat,
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5: Global Routing © KLMH Lienig 1 EECS 527 Paper Presentation High-Performance.
On Graph Query Optimization in Large Networks Alice Leung ICS 624 4/14/2011.
Modern VLSI Design 2e: Chapter 7 Copyright  1998 Prentice Hall PTR Topics n Block placement. n Global routing. n Switchbox routing.
AUTOMATIC BUS PLANNER FOR DENSE PCBS Hui Kong, Tan Yan and Martin D.F. Wong Department of Electrical and Computer Engineering, University of Illinois at.
ARCHER:A HISTORY-DRIVEN GLOBAL ROUTING ALGORITHM Muhammet Mustafa Ozdal, Martin D. F. Wong ICCAD ’ 07.
1 Efficient Obstacle-Avoiding Rectilinear Steiner Tree Construction Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang National Taiwan.
Zibin Zheng DR 2 : Dynamic Request Routing for Tolerating Latency Variability in Cloud Applications CLOUD 2013 Jieming Zhu, Zibin.
A Negotiated Congestion based Router for Simultaneous Escape Routing Q.Ma, T.Yan and Martin D.F. Wong Department of Electrical and Computer Engineering.
Po-Wei Lee, Chung-Wei Lin, Yao-Wen Chang, Chin-Fang Shen, Wei-Chih Tseng NTU &Synopsys An Efficient Pre-assignment Routing Algorithm for Flip-Chip Designs.
Efficient On-line Interconnect BIST in FPGAs with Provable Detectability for Multiple Faults Vishal Suthar and Shantanu Dutt Dept. of ECE University of.
1 A Min-Cost Flow Based Detailed Router for FPGAs Seokjin Lee *, Yongseok Cheon *, D. F. Wong + * The University of Texas at Austin + University of Illinois.
On Routing Fixed Escaped Boundary Pins for High Speed Boards T. Tsai, R. Lee, C. Chin and Y. Kajitani Global UniChip Corp. Hsinchu, Taiwan DATE 2011.
ECE 260B – CSE 241A /UCB EECS Kahng/Keutzer/Newton Physical Design Flow Read Netlist Initial Placement Placement Improvement Cost Estimation Routing.
PARR:Pin Access Planning and Regular Routing for Self-Aligned Double Patterning XIAOQING XU BEI YU JHIH-RONG GAO CHE-LUN HSU DAVID Z. PAN DAC’15.
Timing-Driven Routing for FPGAs Based on Lagrangian Relaxation
Technology Mapping. 2 Technology mapping is the phase of logic synthesis when gates are selected from a technology library to implement the circuit. Technology.
Maze Routing Algorithms with Exact Matching Constraints for Analog and Mixed Signal Designs M. M. Ozdal and R. F. Hentschke Intel Corporation ICCAD 2012.
Efficient Resource Allocation for Wireless Multicast De-Nian Yang, Member, IEEE Ming-Syan Chen, Fellow, IEEE IEEE Transactions on Mobile Computing, April.
High-Performance Global Routing with Fast Overflow Reduction Huang-Yu Chen, Chin-Hsiung Hsu, and Yao-Wen Chang National Taiwan University Taiwan.
Interconnect Driver Design for Long Wires in FPGAs Edmund Lee University of British Columbia Electrical & Computer Engineering MASc Thesis Presentation.
An Exact Algorithm for Difficult Detailed Routing Problems Kolja Sulimma Wolfgang Kunz J. W.-Goethe Universität Frankfurt.
FPGA Routing Pathfinder [Ebeling, et al., 1995] Introduced negotiated congestion During each routing iteration, route nets using shortest.
A Novel Timing-Driven Global Routing Algorithm Considering Coupling Effects for High Performance Circuit Design Jingyu Xu, Xianlong Hong, Tong Jing, Yici.
Network Formation Games. NFGs model distinct ways in which selfish agents might create and evaluate networks We’ll see two models: Global Connection Game.
A Study of the Scalability of On-Chip Routing for Just-in-Time FPGA Compilation Roman Lysecky a, Frank Vahid a*, Sheldon X.-D. Tan b a Department of Computer.
Network Formation Games. NFGs model distinct ways in which selfish agents might create and evaluate networks We’ll see two models: Global Connection Game.
VLSI Physical Design Automation
2 University of California, Los Angeles
Performance Optimization Global Routing with RLC Crosstalk Constraints
Performance and RLC Crosstalk Driven Global Routing
12/4/2018 A Regularity-Driven Fast Gridless Detailed Router for High Frequency Datapath Designs By Sabyasachi Das (Intel Corporation) Sunil P. Khatri (Univ.
Sungho Kang Yonsei University
Routing Algorithms.
A Dynamic System Analysis of Simultaneous Recurrent Neural Network
Under a Concurrent and Hierarchical Scheme
Presentation transcript:

1 ROAD : An Order-Impervious Optimal Detailed Router Hasan Arslan, Shantanu Dutt Electrical & Computer Eng. University of Illinois at Chicago ICCD 2003

2 OUTLINE Introduction Standard Single-Net Routing Mode Ripup-Reroute Detailed Routing Alg. Bump &Refit (B&R) Concepts B&R Paradigm Applying B&R to Complete Detailed Routing Optimality-Preserving Speedup Methods Lookahead TC functions Learning-Based Search Space Pruning Clique-Based Search Space Pruning Experimental Results Conclusion

3 INTRODUCTION Efficient Routing: –Reducing total wiring area –Lengths of critical path nets for performance opt. Detailed Routing: –Net ordering problem ( Std. Single-Net Routing ) –Ripup-and-Reroute (R&R) New approach (Bump & Refit strategy)

Prior work on Detailed Routing (Cont.) A B D C E E Standard single-net routing mode –during detail routing Does not perturb or move existing nets Switchboxes } } Tracks Tracks #s Cells S T

Prior work on Detailed Routing(Cont.) ( RIPUP-and-REROUTE ) A B D C E E 1) Single Net Routing : Route new nets without removing any existing nets. 2) Rip & Reroute : If some nets cannot be routed, rip-up the existing nets which occupy the resources of new nets. Reroute the ripped up nets –Changes net topology –Net length can be increased –Because of ripup-reroute solution time can be increased

6 Complete Detailed Router by using Bump-&-Refit strategy Basic B&R developed for incremental routing ( Dutt etal. ICCAD’99, ICCAD’01, TODAES’02 ) Incremental Routing –Existing routed nets set R –Some new nets set S (timing violation, noise…) –Route the nets in S by doing min. changes on nets in R. Bump-&-Refit Approach –Does not rip-up and reroute –Shift them (or their subnets) to other track positions --- Bump-&-Refit (B&R) –No change in topology, length of existing nets –Optimal: Finds a detailed route if exists

7 Experimental Results (Dutt etal., TODAES 2002) Comparisons of STD, R&R, B&R Inc. Routing Alg. R&R=(85x) STD=(20x)

8 Each step in complete detailed routing is an incremental routing problem Complete Detailed Router (B&R) B&R Complete_Detailed_Routing() input: unrouted nets N: number of nets output: Routed nets. R 0 =  for (i=1 to N) R i =Do_Incremental_Routing(n i,R i-1 )

9 B&R Concepts Some definitions and representation (cont.) n4 n2 n5 n3 n6 The overlap graph (OG) Nodes: existing nets Edges: a channel is shared by two nets T j  T k, bumps to some nets T0 T3 T2 T1 T2 T3 T0 T2 T3 n2 T 1 n3 T 0 n4 T 2 n5 T 3 n6 T 0 SP T 2

B&R Concepts AT0AT0 A B BT1BT1 CT0CT0 DT1DT1 C D E EXEX New_Net O_Net (i)TC 1 sum (n i Tj  Tk ) =  l(n j )  n j  adj Tk (n i )  total-length-of bumped nets  l(n j )  n j  adj Tk (n i ) (ii) TC 1 sqrt ( n i Tj  Tk ) = sqrt(| adj Tk (n i )| l(n j ) is the total length of n j in terms of the track segments adj T1 (E)={B} adj T0 (E)={C}

11 TC 1 = Total-length-of-bumped-nets n 1 n 2 n n5n5 n3n3 B&R Concepts n1n1 n2n2 n3n3 T1T1 T2T2 n4n4 n5n st Level TC Functions

Example: B&R for Detailed Routing AT0AT0 A B BT1BT1 CT0CT0 DT1DT1 C D E EXEX New_Net ET0ET0 CXCX O_Net D_Sp T 0 C 2 3

Example: B&R for Detailed Routing(Cont.) AT0AT0 A B BT1BT1 DT1DT1 D E New_Net ET0ET0 CXCX O_Net D_Sp T 0 C CT1CT1 DXDX DT0DT0

14 Navigating the OG Sp Sp 11 Sp New-net T2T2 T1T1 T3T3 T3T3 T1T1 T2T2 T3T3 9 T2T2 T3T3 T1T1 B&R Concepts If there is a solution, this process will find it. it optimizes the number of tracks Time Complexity: L= # of paths in OG (m=# of nodes, b:branching factor) In worst case L=O((b-1) m ) If OG is tree L is linear

15 Optimality-Preserving Speedup Methods Regular incremental routing: B&R applied to 1-10% of the nets---speed is good Complete detailed routing: B&R applied to 100% of nets--speed drops significantly Developed three optimality-preserving speedup methods to increase the speed of B&R

16 n 1 n 2 n n6n6 n4n4 n5n5 (i) TC 1 = Total-length-of-bumped-nets (i) TC 2 sum-sum (n i Tj  Tk ) =   n j  adj Tk (n i ) min  Tt (TC 1 sum (n i Tk  Tt )) T0T0 n4n4 n5n5 T1T1 n6n6 T2T2 T0T0 Sp n1n1 n2n2 n3n3 T1T1 T2T2 Optimality-Preserving Speedup Methods (Cont.) (1) Lookahead TC Functions

17 (2) Clique-Based Search Space Pruning AT1AT1 CT0CT0 BT2BT2 DT3DT3 YT3YT3 AT1AT1 CT0CT0 BT2BT2 DT3DT3 B Y T 0 T 1 T 2 A C D T 3 X B Y T 0 T 1 T 2 A C D T 3 X Dynamically determines the presence of cliques in the OG among the longer nets CLIQUE: is a completely connected subgraph of OG Min. # of distinct tracks for succ. Routing (m=4) For each clique, maintain the number of common unused (CUT) track. (k) After a net in clique is bumped, If (k+m) > t, there is no solution to bump that net. (1+4) > 4 Optimality-Preserving Speedup Methods (Cont.)

18 (3) Learning-Based Search Space Pruning A C D K B P 1 A D K A D C K B T0T0 T1T1 T2T2 T3T3 Optimality-Preserving Speedup Methods (Cont.) 2 A C D X Z K B Q A D K D A C KX Z B T0T0 T1T1 T2T2 T3T3 Theorem: if no soln. for bumping net B, and obstacle pattern OP 1 is obtained – If in another search path, B is bumped again and OP 1  AP 2 – Then no solution exists

19 Isomorphic Function: –f: is a one-to-one and onto functions between tracks that maps T i  T k where T k =T f(i) Pattern Isomorphism: Let P1 and P2 be obstacle patterns, If all nets on each track of P1 appears on a unique, possibly different, track of P2, then P1 is isomorphic to P2. C K B T0T0 T1T1 T2T2 T3T3 T0T0 T1T1 T2T2 T3T3 C KX Z B A D A D Optimality-Preserving Speedup Methods (Cont.) (3) Learning-Based Search Space Pruning

20 A C D K B P 1 2 A C D X Z K B Q A D K A D K A D C K B T0T0 T1T1 T2T2 T3T3 T0T0 T1T1 T2T2 T3T3 C KX Z B A D Optimality-Preserving Speedup Methods (Cont.) (3) Learning-Based Search Space Pruning Theorem: if no soln. for bumping net B, and obstacle pattern OP 1 is obtained – If in another search path, B is bumped again OP 2  AP 2 & OP 1 isomorphic to OP 2 – Then no solution exists

21 Experimental Results (Characteristics of VPR Benchmark Circuits)

22 Experimental Results (Speedup Method Results) ROAD -1 : Basic B&R with 1 st TC Function ROAD 0 : 1 st TC Function  2 nd TC Function ROAD: LBS + Clique-Based pruning mtd. Added to ROAD 0 ROAD (bumped & Refit based OptimAl Detailed router)

23 Experimental Results (Internal Comparisons) Extrapolated Speed up of ROAD over ROAD x 61 = 5763

24 Experimental Results (Extracting VPR Global Routing Topology) VPR Placement VPR Router Flat-routing ROADSEGA Comparisons of ROAD, VPR and SEGA

25 Experimental Results (Comparing with VPR-SEGA combine)

26 Experimental Results (Comparing with VPR-SEGA combine) Approx. VPR detailed-runtime=(VPR flat-runtime) - (VPR global-runtime) ROAD=(7x) VPR=(4x)

27 Empirical Avg. Case Time Complexity of ROAD

28 CONCLUSION ROAD: uses the bump-and-refit (B&R) –Overcomes net-ordering problem –Optimality-preserving search space pruning methods that give us orders of magnitude speedup Large circuits: VPR’s flat routing  time consuming Hence need two-stage routing (global followed by detailed routing) possibly interleaved across the nets ROAD  prime candidate for detailed routing phase in this two-stage framework

29 THANK YOU