Digital Design Using VHDL and PLDs ECOM 4311 Digital System Design Chapter 1.

Slides:



Advertisements
Similar presentations
Digital System Design Subject Name : Digital System Design Course Code : IT-314.
Advertisements

1 Introduction to VHDL (Continued) EE19D. 2 Basic elements of a VHDL Model Package Declaration ENTITY (interface description) ARCHITECTURE (functionality)
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
Introduction to VHDL (Lecture #5) ECE 331 – Digital System Design The slides included herein were taken from the materials accompanying Fundamentals of.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
VHDL Intro What does VHDL stand for? VHSIC Hardware Description Language VHSIC = Very High Speed Integrated Circuit Developed in 1982 by Govt. to standardize.
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
VHDL. What is VHDL? VHDL: VHSIC Hardware Description Language  VHSIC: Very High Speed Integrated Circuit 7/2/ R.H.Khade.
ECE 331 – Digital System Design Single-bit Adder Circuits and Adder Circuits in VHDL (Lecture #12) The slides included herein were taken from the materials.
ECE 331 – Digital System Design
Lecture #6 Page 1 Lecture #6 Agenda 1.VHDL - Architecture 2.VHDL - Packages Announcements 1.HW #3 assigned ECE 4110– Sequential Logic Design.
Chapter 4 Programmable Logic Devices: CPLDs with VHDL Design Copyright ©2006 by Pearson Education, Inc. Upper Saddle River, New Jersey All rights.
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches.
IAY 0600 Digital Systems Design
IAY 0600 Digitaalsüsteemide disain Event-Driven Simulation Alexander Sudnitson Tallinn University of Technology.
VHDL TUTORIAL Preetha Thulasiraman ECE 223 Winter 2007.
Language Concepts Ver 1.1, Copyright 1997 TS, Inc. VHDL L a n g u a g e C o n c e p t s Page 1.
CWRU EECS 317 EECS 317 Computer Design LECTURE 1: The VHDL Adder Instructor: Francis G. Wolff Case Western Reserve University.
Basic Overview of VHDL Matthew Murach Slides Available at:
Introduction to VHDL Spring EENG 2920 Digital Systems Design Introduction VHDL – VHSIC (Very high speed integrated circuit) Hardware Description.
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
IAY 0600 Digital Systems Design VHDL discussion Verification: Testbenches Alexander Sudnitson Tallinn University of Technology.
Electrical and Computer Engineering University of Cyprus LAB 1: VHDL.
HARDWARE DESCRIPTION LANGUAGE (HDL). What is HDL? A type of programming language for sampling and modeling of electronic & logic circuit designs It can.
ECE 331 – Digital System Design Single-bit Adder Circuits and Adder Circuits in VHDL (Lecture #11) The slides included herein were taken from the materials.
1 Hardware Description Languages: a Comparison of AHPL and VHDL By Tamas Kasza AHPL&VHDL Digital System Design 1 (ECE 5571) Spring 2003 A presentation.
(1) Basic Language Concepts © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
Chapter 5 Introduction to VHDL. 2 Hardware Description Language A computer language used to design circuits with text-based descriptions of the circuits.
IAY 0600 Digital Systems Design VHDL discussion Dataflow Style Combinational Design Alexander Sudnitson Tallinn University of Technology.
Digital System Projects
ELEE 4303 Digital II Introduction to Verilog. ELEE 4303 Digital II Learning Objectives Get familiar with background of HDLs Basic concepts of Verilog.
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
5-1 Logic System Design I VHDL Design Principles ECGR2181 Reading: Chapter 5.0, 5.1, 5.3 port ( I: in STD_LOGIC_VECTOR (1 to 9); EVEN, ODD: out STD_LOGIC.
ECOM 4311—Digital System Design with VHDL
Apr. 3, 2000Systems Architecture I1 Introduction to VHDL (CS 570) Jeremy R. Johnson Wed. Nov. 8, 2000.
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
IAY 0600 Digital Systems Design Event-Driven Simulation VHDL Discussion Alexander Sudnitson Tallinn University of Technology.
May 9, 2001Systems Architecture I1 Systems Architecture I (CS ) Lab 5: Introduction to VHDL Jeremy R. Johnson May 9, 2001.
IAY 0600 Digital Systems Design Timing and Post-Synthesis Verifications Hazards in Combinational Circuits Alexander Sudnitson Tallinn University of Technology.
IAY 0600 Digital Systems Design VHDL discussion Verification: Testbenches Alexander Sudnitson Tallinn University of Technology.
IAY 0600 Digital Systems Design VHDL discussion Structural style Modular design and hierarchy Part 1 Alexander Sudnitson Tallinn University of Technology.
ECE 332 Digital Electronics and Logic Design Lab Lab 3 Introduction to Starter Kit ECE 332 George Mason University.
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
Introduction to design with VHDL IAY 0600 Digital Systems Design Alexander Sudnitson Tallinn University of Technology 1.
Introduction to Verilog COE 202 Digital Logic Design Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals.
IAY 0600 Digital Systems Design
Introduction to design with VHDL
IAY 0600 Digital Systems Design
Structural style Modular design and hierarchy Part 1
Basic Language Concepts
Systems Architecture Lab: Introduction to VHDL
IAY 0600 Digitaalsüsteemide disain
Behavioral Style Combinational Design with VHDL
IAY 0600 Digital Systems Design
Introduction to Programmable Logic
Dataflow Style Combinational Design with VHDL
Structural style Modular design and hierarchy Part 1
Behavioral Style Combinational Design with VHDL
ECE 4110–5110 Digital System Design
IAS 0600 Digital Systems Design
Programmable Logic Devices: CPLDs and FPGAs with VHDL Design
IAY 0800 Digitaalsüsteemide disain
Introduction to Verilog
IAS 0600 Digital Systems Design
Structural style Modular design and hierarchy Part 1
IAY 0600 Digital Systems Design
VHDL Introduction.
IAS 0600 Digital Systems Design
Presentation transcript:

Digital Design Using VHDL and PLDs ECOM 4311 Digital System Design Chapter 1

2 VHDL/PLD design methodology VHDL is a programming language for designing and modeling digital hardware systems. Using VHDL with electronic design automation (EDA) software tools and user programmable logic devices (PLDs), we can quickly design, verify, and implement a digital system. We refer to this approach as the VHDL/PLD design methodology

3 VHDL/PLD design methodology The VHDL/PLD design methodology uses: VHDL to describe both the system being designed and the testbench used to verify the design A software simulator tool to simulate the design to verify its functionality and timing A software synthesis tool to create the logic described by the VHDL description A software place-and-route tool to map the synthesized logic to the target PLD and to generate a timing model and a configuration file A PLD to physically implement the design

4 VHDL Model A VHDL model is a textual description of a system that, when simulated, behaves like the intended or actual system. Different models may be created to represent the same system at different levels of abstraction (detail). A model at a particular level of abstraction represents all the information that is relevant at that level and leaves out all irrelevant details.

5 VHDL/PLD Design flow

6 Analyze Requirements During the requirements analysis phase, the problem that the system is to solve is analyzed to establish a clear problem definition. Any constraints imposed by the environment in which the system must operate are also determined.

7 Develop Specification Using the requirements analysis document as a basis, a specification is written that defines: The system’s interface to its environment The functions the system must accomplish to solve the problem. A system specification also includes any performance requirements and constraints, such as speed of operation and maximum power consumption.

8 Design Description Design description refers to a VHDL program that can be synthesized into hardware. A design description can be behavioral or structural. A behavioral description specifies the computation of output values as a function of input values. In contrast,a structural description is a hierarchical description of interconnected components. For a structural description to be complete, each component must have an associated behavioral description.

9 Half Adder Example For a function that is specified by a truth table, we can write the sum of minterms equation for each output. Unlike in traditional design, we would not bother to simplify these equations, because the synthesizer will automatically do this for us.

10 Design description of a half adder

11 Keywords Keywords (reserved words) are words that have special meaning in VHDL. They can only be used for the purposes defined by the language. In the book, keywords in program listings are boldfaced.

12 Statements A design description is composed of statements (instructions). A statement is a construct that specifies one or more actions that are to take place The end of each statement is delimited (marked) by a semicolon. sum <= (not a and b) or (a and not b);

13 Comments Comments are used to clarify a program. They are invaluable to others who must read and understand our programs and to ourselves when we return to a program after a considerable amount of time. A comment starts with two hyphens and continues to the end of the line. There is no block comment feature in VHDL. Comments in programs in the book are italicized -- Declare signals to assign values to and to observe signal a_tb, b_tb, sum_tb, carry_out_tb : std_logic; -- Declare signals to assign values to and to observe signal a_tb, b_tb, sum_tb, carry_out_tb : std_logic;

14 Context Clause A context clause, consists of a library clause and a use clause. A library clause is required when objects that are not predefined in the VHDL language, but are defined in a library, are used. The half-adder program uses a data type called std_logic for its input and output signals.This data type is defined in the package STD_LOGIC_1164 in the library ieee. library ieee; -- Context clause use ieee.std_logic_1164.all; library ieee; -- Context clause use ieee.std_logic_1164.all;

15 Design Entity The simplest VHDL design descriptions consist of a single design entity. A design entity can represent all or a portion of a design. A design entity has well-defined inputs and outputs and performs a well-defined function. A design entity consists of two parts: an entity declaration and an architecture body. These two parts can be placed in the same file, or they can be placed in separate files. ECE 448 – FPGA and ASIC Design with VHDL

16 Entity Declaration An entity declaration gives a design entity its name and describes its interface (input and output signals). The entity declaration in Listing provides information similar to that provided by the block diagram of Figure An entity declaration starts with the keyword entity, followed by the entity’s name.

17 Ports A design entity’s input and output signals are called ports and are listed in the entity declaration following the keyword port. The type of data each port transfers is also specified. A signal’s data type determines the values the signal may have and the operations that can be performed on those values. Type std_logic provides nine different values to represent a logic signal. This allows a more detailed representation of a logic signal’s state than does type bit. Both types include the values '0' and '1'.

18 Architecture Body An architecture body starts with the keyword architecture followed by the name given to the architecture. Following the keyword of is the name of the entity declaration with which the architecture is associated. A design entity’s architecture describes either the entity’s behavior or its structure. In the half adder example the architecture is written in a style called dataflow, which describes a system’s behavior in terms of how data flows through the system. architecture dataflow of half_adder is begin sum <= (not a and b) or (a and not b); carry_out <= a and b; end dataflow; architecture dataflow of half_adder is begin sum <= (not a and b) or (a and not b); carry_out <= a and b; end dataflow;

19 ? Any Question Finally!!