DFT Compiler Synopsys Customer Education Services

Slides:



Advertisements
Similar presentations
STMicroelctronics Laroussi Bouzaida Central R&D/ Test Solutions Department Mgr Crolles - France STIL-based D2T Flow.
Advertisements

Z. Stamenković 1, M. Giles 2, and F. Russi 2 1 IHP GmbH, Frankfurt (Oder), GERMANY 2 Synopsys Inc., Mountain View, CA, USA 13th IEEE European Test Symposium,
TOPIC : SYNTHESIS DESIGN FLOW Module 4.3 Verilog Synthesis.
Copyright 2001, Agrawal & BushnellLecture 12: DFT and Scan1 VLSI Testing Lecture 10: DFT and Scan n Definitions n Ad-hoc methods n Scan design  Design.
Apr. 20, 2001VLSI Test: Bushnell-Agrawal/Lecture 311 Lecture 31 System Test n Definition n Functional test n Diagnostic test  Fault dictionary  Diagnostic.
Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
CSE241 Formal Verification.1Cichy, UCSD ©2003 CSE241A VLSI Digital Circuits Winter 2003 Recitation 6: Formal Verification.
The Design Process Outline Goal Reading Design Domain Design Flow
1 Lecture 23 Design for Testability (DFT): Full-Scan n Definition n Ad-hoc methods n Scan design Design rules Scan register Scan flip-flops Scan test sequences.
Behavioral Design Outline –Design Specification –Behavioral Design –Behavioral Specification –Hardware Description Languages –Behavioral Simulation –Behavioral.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 11 Lecture 1 Introduction n VLSI realization process n Verification and test n Ideal and real tests.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
An Introduction to Synopsys Design Automation Jeremy Lee November 7, 2007.
Copyright 2001, Agrawal & BushnellDay-1 AM-1 Lecture 11 Testing Analog & Digital Products Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical.
SiliconAid Solutions, Inc. Confidential SAJE SiliconAid JTAG Environment Overview – Very Short.
BIST vs. ATPG.
Implementation of DSP Algorithm on SoC. Mid-Semester Presentation Student : Einat Tevel Supervisor : Isaschar Walter Accompaning engineer : Emilia Burlak.
Design Tools, Flows and Library Aspects during the FE-I4 Implementation on Silicon Vladimir Zivkovic National Institute for Subatomic Physics Amsterdam,
EDA TOOLS. Why EDA? Imagine a Intel based micro processor having 1.5 million transistors. Would it be feasible to design such a complex system with help.
Robust Low Power VLSI R obust L ow P ower VLSI Memory Management Units for Instruction and Data Cache for OR1200 CPU Core Arijit Banerjee ASIC/SOC Class.
TM Efficient IP Design flow for Low-Power High-Level Synthesis Quick & Accurate Power Analysis and Optimization Flow JAN Asher Berkovitz Yaniv.
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
© 2003 Xilinx, Inc. All Rights Reserved Reading Reports Xilinx: This module was completely redone. Please translate entire module Some pages are the same.
Kazi ECE 6811 ECE 681 VLSI Design Automation Khurram Kazi* Lecture 10 Thanks to Automation press THE button outcomes the Chip !!! Reality or Myth (*Mostly.
ON LINE TEST GENERATION AND ANALYSIS R. Šeinauskas Kaunas University of Technology LITHUANIA.
Presenter : Ching-Hua Huang 2013/9/16 Visibility Enhancement for Silicon Debug Cited count : 62 Yu-Chin Hsu; Furshing Tsai; Wells Jong; Ying-Tsai Chang.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
CADENCE CONFIDENTIAL 1CADENCE DESIGN SYSTEMS, INC. Cadence Formal Verification 2003 Beijing International Microelectronics Symposium C. Michael Chang Vice.
© 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Xilinx Design Flow FPGA Design Flow Workshop.
Design Verification An Overview. Powerful HDL Verification Solutions for the Industry’s Highest Density Devices  What is driving the FPGA Verification.
Xilinx Programmable Logic Design Solutions Version 2.1i Designing the Industry’s First 2 Million Gate FPGA Drop-In 64 Bit / 66 MHz PCI Design.
Using Formal Verification to Exhaustively Verify SoC Assemblies by Mark Handover Kenny Ranerup Applications Engineer ASIC Consultant Mentor Graphics Corp.
COE 405 Design and Modeling of Digital Systems
Chonnam national university VLSI Lab 8.4 Block Integration for Hard Macros The process of integrating the subblocks into the macro.
FORMAL VERIFICATION OF ADVANCED SYNTHESIS OPTIMIZATIONS Anant Kumar Jain Pradish Mathews Mike Mahar.
1 An Update on Verilog Ξ – Computer Architecture Lab 28/06/2005 Kypros Constantinides.
Real Intent, Inc (1) Copyright © Real Intent Real Intent, Inc. EnVision Suite of EDA Solutions.
The Macro Design Process The Issues 1. Overview of IP Design 2. Key Features 3. Planning and Specification 4. Macro Design and Verification 5. Soft Macro.
ASIC, Customer-Owned Tooling, and Processor Design Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths That Lead EDA Astray.
Fall 08, Oct 31ELEC Lecture 8 (Updated) 1 Lecture 8: Design, Simulation Synthesis and Test Tools ELEC 2200: Digital Logic Circuits Nitin Yogi
ECE 545 Project 2 Specification. Schedule of Projects (1) Project 1 RTL design for FPGAs (20 points) Due date: Tuesday, November 22, midnight (firm) Checkpoints:
ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE Presenter: Shu-yen Lin Advisor: Prof. An-Yeu Wu 2005/6/6.
Introductory project. Development systems Design Entry –Foundation ISE –Third party tools Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Design.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
04/06/031 ECE 551: Digital System Design & Synthesis Lecture Set 9 9.1: Constraints and Timing (In separate file) 9.2: Optimization - Part 1 9.3: Optimization.
ASIC to FPGA Conversion Flow. Conversion Feasibility Flow Chart Design Rules Checking Feasibility Report RTL CodeQuick Conversion ASIC Netlist Fault coverage.
IMPLEMENTATION OF MIPS 64 WITH VERILOG HARDWARE DESIGN LANGUAGE BY PRAMOD MENON CET520 S’03.
Update on the Design Implementation Methodology for the 130nm process Microelecronics User Group meeting TWEPP 2010 – Aachen Sandro Bonacini CERN PH/ESE.
Chapter 11 System-Level Verification Issues. The Importance of Verification Verifying at the system level is the last opportunity to find errors before.
FEV And Netlists Erik Seligman CS 510, Lecture 5, January 2009.
Ready to Use Programmable Logic Design Solutions.
04/21/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Functional & Timing Verification 10.2: Faults & Testing.
Altera Technical Solutions Seminar Schedule OpeningIntroduction FLEX ® 10KE Devices APEX ™ 20K & Quartus ™ Overview Design Integration EDA Integration.
ASIC Design Methodology
ELEC 7770 Advanced VLSI Design Spring 2016 Introduction
SoCKs Flow: Here, There, and Back Again
Testability in EOCHL (and beyond…)
Cadence Low-Power Solution
Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)
ELEC 7770 Advanced VLSI Design Spring 2014 Introduction
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
Week 5, Verilog & Full Adder
Timing Analysis 11/21/2018.
ITRS Roadmap Design Process Open Discussion EDP 2001
ELEC 7770 Advanced VLSI Design Spring 2012 Introduction
ELEC 7770 Advanced VLSI Design Spring 2010 Introduction
ECE-C662 Introduction to Behavioral Synthesis Knapp Text Ch
ECE 699: Lecture 3 ZYNQ Design Flow.
Measuring the Gap between FPGAs and ASICs
Xilinx Alliance Series
Presentation transcript:

DFT Compiler 1 2004.12 Synopsys Customer Education Services 2005 Synopsys, Inc. All Rights Reserved Synopsys 30-I-011-SSG-007

Course Materials Student Workbook Lab Book Reference Materials Course Evaluations

Facilities Building Hours Phones Emergency Messages Restrooms Smoking EXIT Restrooms Smoking Meals Recycling Please turn off cell phones and pagers

Workshop Prerequisites You should have experience in the following areas: Digital IC design Verilog or VHDL UNIX and X-Windows A Unix based text editor

Curriculum Flow Physical Compiler 1 The Power of Tcl 3 workshops at 3 skill levels The Power of Tcl 3 workshops at 3 skill levels The Power of Tcl 3 workshops at 3 skill levels Design Compiler 1 PrimeTime: Advanced STA Constraint Debugging PrimeTime 1 You are here PrimeTime: Signal Integrity DFT Compiler 1 ATPG with TetraMAX Astro 1 Astro XTalk

Target Audience SoC Design and Test engineers who need to identify and fix DFT violations in their RTL or gate-level designs, insert scan into multi-million gate SoCs, and export design files to ATPG and P&R tools. SoC System On a Chip DFT Design for Test RTL Register Transfer Level, for example, VHDL and Verilog ATPG Automatic Test Pattern Generation P&R Place and Route, also known as layout

Introductions Name Company Job Responsibilities EDA Experience Main Goal(s) and Expectations for this Course EDA Electronic Design Automation

Galaxy™ Design Platform Synopsys Manufacturing Test Solution Design Services Test Synthesis DFT Compiler™, SoCBIST Unified DFT synthesis, verification and test signoff Significant test cost reduction Design Compiler Module Compiler Design- Ware Compiler Power Compiler DFT JupiterXT Milkyway PrimeTime SI Physical Compiler Astro ATPG TetraMAX® ATPG, DSMTest, TenX Leading-edge ATPG with comprehensive support for delay related defects Star-RCXT Illustrated is an overview of the manufacturing test capabilities in the Galaxy Design Platform. It is a open integrated design platform with best in class tools centered around the Milkyway database. The consistent timing, common libraries and constraints from RTL to manufacturing ensures the highest QOR, rapid TTR and minimal risk to production. All the test tools are benefited by this tight integration. DFT Compiler is the test synthesis product and SoCBIST is the logicBIST option to DFT Compiler. TetraMAX is Synopsys’ ATPG solution integrated into the Galaxy Test flow. Design Compiler: logic synthesis PrimeTime: static timing analysis Physical Compiler: logic synthesis and placement combined Astro: place and route Star-RCXT: parasitic extraction Hercules: layout versus netlist validation Proteus: Design-for-Manufacturing (Optical Proximity Correction) Hercules Proteus

External DFT and ATPG Flows Which flow(s) do you use now or plan to use in the future?

1-Pass Test Suite: Environment Overview RTL Source Design Compiler/Physical Compiler Environment BSD Compiler IEEE-1149.1 DFT Compiler 1-Pass Test Synthesis Boundary Scan Netlist BSDL Test Vectors Scan Design (Gates) Setup Info STIL File TetraMAX Environment TetraMAX ATPG Sequential Fault Simulator Bridging Faults IDDQ Transition Delay Path Delay

DFT Compiler TM 1-Pass Scan Synthesis RTL Rule Checking: In-depth testability analysis at RT Level: Helps designers write “test-friendly” RTL AutoFix: Automatic correction of scan DRC violations: Removes unpredictability from back-end design process DFT synthesis Shadow LogicDFT synthesis Scan Synthesis: Transparent scan implementation: Seamlessly optimize all design constraints — timing, area, power and test (logical and physical domain) Hierarchical Scan Synthesis: Leverage existing flows and test models to gain multi-million gate capacity and improved performance (logical and physical domain)

Top-Down Scan Insertion Flow Violations at RTL could be due to incomplete test protocol or test-unfriendly RTL or a combination of both. If this RTL-level check is not done and the first DFT Check occurs at the gate-level on a mapped design or test-ready design, violations again could be due to incomplete protocol or test-unfriendly gates. Violations after inserting scan paths means estimated test coverage is too low. This can be due to many factors such as not all flip-flops being on the scan paths, DFT violations reported earlier were ignored, or perhaps due to embedded memories or nonscan flip-flops sequential ATPG is required in TetraMAX.

DFT Compiler Test-Ready or Unmapped Flow Start point is RTL (unmapped) design IDEAL starting point 1-Pass Scan synthesis achieved by taking RTL directly to a scan synthesized design Test-Ready Flow RTL Source DFT Compiler DFT synthesis, test drc, test coverage preview Scan-inserted Design Testability Reports

DFT Compiler Mapped Flow Start point is gate-level (mapped) design with no scan circuitry yet DFT Compiler performs scan cell replacement and scan chain synthesis Gate-Level Source DFT Compiler DFT synthesis, test drc, test coverage preview Scan-inserted Design Testability Reports

DFT Compiler Existing Scan Flow Start point is gate-level design that already includes scan cells and chains DFT Compiler performs scan chain extraction & test DRCs in preparation for TetraMAX ATPG Gate-Level Source DFT Compiler DFT synthesis, test drc, test coverage preview Scan-inserted Design Testability Reports If the existing scan design was created by DFTC, saved in .db format and no test attributes removed (3 important conditions), DFTC automatically recognized the chains it inserted earlier. If you were to bring in a Verilog or VHDL netlist with scan chains in it, however, there is nothing in the RTL to describe all the test attributes…so DFTC has to use your test protocol and defined test attributes to "extract" the scan chain from the netlist.

Bottom-Up Scan Insertion Flow Bottom-up scan insertion gives you greater manual control, but requires more effort. Scan paths are inserted on a block-by-block basis, then integrated at the chip level. Advantages: Bottom-up insertion allows block designers to maintain ownership until block sign off. You can ensure that your block still meets timing even after the scan paths are inserted. Since insert_dft runs on individual blocks, overall run times are conveniently short. Disadvantages: Block level clock mixing and tristate disabling may need revision later at the chip level. The bottom-up approach usually requires more planning and scripting by the design team. Conclusion: Use the approach that fits your SOC design flow—DFTC fully supports both techniques. Mix top-down and bottom-up by inserting scan top-down into major subsystem blocks.

Methods for High Capacity Scan Synthesis DC PC DFT Compiler UDRC RSS Test Models ILMs DFT Compiler UDRC RSS ILMs DC-XG PC-XG Unified Design Rule Checking (UDRC): Uses TetraMAX DRC for consistency and faster runtime Rapid Scan Synthesis (RSS): Avoids “test uniquification” and just stitches the scan chains Test Models, Interface Logic Models (ILMs) with Test Models: Highly reduced scan models of gate-level designs XG Mode New DC/PC infrastructure increases capacity and reduces runtime Using Test Models is also called HSS (Hierarchical Scan Synthesis). A DFT Compiler license is required to run DFT Compiler inside PC.

Workshop Goal Use DFT Compiler to check RTL and mapped designs for DFT violations, insert scan chains into very large multi-million gate designs in either logical or physical flows, and export all the required files for downstream tools.

Agenda DAY 1 1 2 3 4 Understanding Scan Testing DFTC User Interfaces Creating Test Protocols 3 DFT for Clocks and Resets 4

Workshop Objectives: Day 1 Define the test protocol for a design Perform DFT checks at both the RTL and gate-levels State common clocking and reset/set design constructs that cause typical DFT violations Automatically fix certain DFT violations at the gate-level using AutoFix

Agenda DAY 2 5 6 7 8 DFT for Tristate Nets DFT for Bidirectional Pins DFT for Embedded Memories 7 Top-Down Scan Insertion 8

Workshop Objectives: Day 2 State design constructs that cause typical DFT violations and how you can workaround these problems: Tristate nets Bidirectional pins Embedded memories Insert scan to achieve well-balanced top-level scan chains and other scan design requirements

Agenda DAY 3 9 10 11 12 CS Exporting Design Files High Capacity DFT Flows 10 Test Data Volume Reduction 11 Conclusion 12 Customer Support CS

Workshop Objectives: Day 3 Write a script to perform all the steps in the DFT flow, including exporting all the required files for ATPG and Place & Route Customize the test initialization sequence, if needed Modify a bottom-up scan insertion script for full gate-level designs to use Test Models/ILMs with RSS and run it Preview top-level chain balance using test models/ILMs after block level scan insertion and revise block level scan architecture as needed to improve top-level scan chain balance Insert additional observe test points to reduce number of ATPG patterns

Icons Used in this Workshop Lab Exercise Caution Recommendation Definition of Acronyms For Further Reference Question “Under the Hood” Information Group Exercise

Test Automation Docs are on SolvNet!