Layout-Driven Test-Architecture Design and Optimization for 3D SoCs under Pre-Bond Test- Pin-Count Constraint Li Jiang 1, Qiang Xu 1, Krishnendu Chakrabarty.

Slides:



Advertisements
Similar presentations
THERMAL-AWARE BUS-DRIVEN FLOORPLANNING PO-HSUN WU & TSUNG-YI HO Department of Computer Science and Information Engineering, National Cheng Kung University.
Advertisements

VLSI-SoC, Atlanta J. Dalmasso, ML Flottes, B. Rouzeyre CNRS/ Univ. Montpellier II France 1 17/10/2007.
1 Pattern-Directed Circuit Virtual Partitioning for Test Power Reduction Qiang Xu The Chinese University of Hong Kong Dianwei Hu and Dong Xiang Tsinghua.
Native-Conflict-Aware Wire Perturbation for Double Patterning Technology Szu-Yu Chen, Yao-Wen Chang ICCAD 2010.
A Routing Technique for Structured Designs which Exploits Regularity Sabyasachi Das Intel Corporation Sunil P. Khatri Univ. of Colorado, Boulder.
Modular SOC Testing With Reduced Wrapper Count Qiang Xu; Nicolici, N., “Modular SOC testing with reduced wrapper count”, IEEE Transactions on Computer-Aided.
3D-STAF: Scalable Temperature and Leakage Aware Floorplanning for Three-Dimensional Integrated Circuits Pingqiang Zhou, Yuchun Ma, Zhouyuan Li, Robert.
Path Finding for 3D Power Distribution Networks A. B. Kahng and C. K. Cheng UC San Diego Feb 18, 2011.
Workshop on Earth Observation for Urban Planning and Management, 20 th November 2006, HK 1 Zhilin Li & Kourosh Khoshelham Dept of Land Surveying & Geo-Informatics.
Localized Techniques for Power Minimization and Information Gathering in Sensor Networks EE249 Final Presentation David Tong Nguyen Abhijit Davare Mentor:
Optimal Layout of CMOS Functional Arrays ECE665- Computer Algorithms Optimal Layout of CMOS Functional Arrays T akao Uehara William M. VanCleemput Presented.
Utrecht, february 22, 2002 Applications of Tree Decompositions Stan van Hoesel KE-FdEWB Universiteit Maastricht
EaseCAM: An Energy And Storage Efficient TCAM-based IP-Lookup Architecture Rabi Mahapatra Texas A&M University;
Thermal-Aware SoC Test Scheduling with Test Set Partitioning and Interleaving Zhiyuan He 1, Zebo Peng 1, Petru Eles 1 Paul Rosinger 2, Bashir M. Al-Hashimi.
VLSI Design & Embedded Systems Conference January 2015 Bengaluru, India Diagnostic Tests for Pre-Bond TSV Defects Bei Zhang Vishwani Agrawal.
SLIP 2000April 9, Wiring Layer Assignments with Consistent Stage Delays Andrew B. Kahng (UCLA) Dirk Stroobandt (Ghent University) Supported.
Routing 2 Outline –Maze Routing –Line Probe Routing –Channel Routing Goal –Understand maze routing –Understand line probe routing.
ECE669 L23: Parallel Compilation April 29, 2004 ECE 669 Parallel Computer Architecture Lecture 23 Parallel Compilation.
1 A Novel Metric for Interconnect Architecture Performance Parthasarathi Dasgupta, Andrew B. Kahng, Swamy V. Muddu Dept. of CSE and ECE University of California,
Chip Planning 1. Introduction Chip Planning:  Deals with large modules with −known areas −fixed/changeable shapes −(possibly fixed locations for some.
Outline: Goals for the cable development at CERN. Main parameters of the cable. Cable development work for a cable width of 15.1 mm and for a cable width.
Improved results for a memory allocation problem Rob van Stee University of Karlsruhe Germany Leah Epstein University of Haifa Israel WADS 2007 WAOA 2007.
L i a b l eh kC o m p u t i n gL a b o r a t o r y On Effective TSV Repair for 3D- Stacked ICs Li Jiang †, Qiang Xu † and Bill Eklow § † CUhk REliable.
Authors: Jia-Wei Fang,Chin-Hsiung Hsu,and Yao-Wen Chang DAC 2007 speaker: sheng yi An Integer Linear Programming Based Routing Algorithm for Flip-Chip.
Robust Low Power VLSI ECE 7502 S2015 Test Challenges for 3D Integrated Circuits ECE 7502 Class Discussion Reza Rahimi 10 th Feb 2015.
L i a b l eh kC o m p u t i n gL a b o r a t o r y Yield Enhancement for 3D-Stacked Memory by Redundancy Sharing across Dies Li Jiang, Rong Ye and Qiang.
Pattern Selection based co-design of Floorplan and Power/Ground Network with Wiring Resource Optimization L. Li, Y. Ma, N. Xu, Y. Wang and X. Hong WuHan.
Network Aware Resource Allocation in Distributed Clouds.
1 SOC Test Architecture Optimization for Signal Integrity Faults on Core-External Interconnects Qiang Xu and Yubin Zhang Krishnendu Chakrabarty The Chinese.
LOPASS: A Low Power Architectural Synthesis for FPGAs with Interconnect Estimation and Optimization Harikrishnan K.C. University of Massachusetts Amherst.
SoC TAM Design to Minimize Test Application Time Advisor Dr. Vishwani D. Agrawal Committee Members Dr. Victor P. Nelson, Dr. Adit D. Singh Apr 9, 2015.
MARS A Scan-Island Based Design Enabling Pre-Bond Testability in Die-Stacked Microprocessors Dean L. Lewis Hsien-Hsin S. Lee Georgia Institute of Technology.
1 Global Routing Method for 2-Layer Ball Grid Array Packages Yukiko Kubo*, Atsushi Takahashi** * The University of Kitakyushu ** Tokyo Institute of Technology.
An Efficient Algorithm for Dual-Voltage Design Without Need for Level-Conversion SSST 2012 Mridula Allani Intel Corporation, Austin, TX (Formerly.
Low-Power Gated Bus Synthesis for 3D IC via Rectilinear Shortest-Path Steiner Graph Chung-Kuan Cheng, Peng Du, Andrew B. Kahng, and Shih-Hung Weng UC San.
SoC TAM Design to Minimize Test Application Time Huiting Zhang Vishwani D. Agrawal May 12, North Atlantic Test Workshop.
UC San Diego / VLSI CAD Laboratory Incremental Multiple-Scan Chain Ordering for ECO Flip-Flop Insertion Andrew B. Kahng, Ilgweon Kang and Siddhartha Nath.
An Efficient Clustering Algorithm For Low Power Clock Tree Synthesis Rupesh S. Shelar Enterprise Microprocessor Group Intel Corporation, Hillsboro, OR.
New Modeling Techniques for the Global Routing Problem Anthony Vannelli Department of Electrical and Computer Engineering University of Waterloo Waterloo,
Wire Planning with consideration of Electromigration and Interference Avoidance in Analog Circuits 演講者 : 黃信雄 龍華科技大學 電子工程系.
Regularity-Constrained Floorplanning for Multi-Core Processors Xi Chen and Jiang Hu (Department of ECE Texas A&M University), Ning Xu (College of CST Wuhan.
Interaction of Overlay Networks: Properties and Implications Joe W.J. Jiang Dah-Ming Chiu John C.S. Lui The Chinese University of Hong Kong.
Approximation algorithms for TSP with neighborhoods in the plane R 郭秉鈞 R 林傳健.
IO CONNECTION ASSIGNMENT AND RDL ROUTING FOR FLIP-CHIP DESIGNS Jin-Tai Yan, Zhi-Wei Chen 1 ASPDAC.2009.
Clustering Moving Objects in Spatial Networks Jidong Chen, Caifeng Lai, Xiaofeng Meng, Renmin University of China Jianliang Xu, and Haibo Hu Hong Kong.
RF network in SoC1 SoC Test Architecture with RF/Wireless Connectivity 1. D. Zhao, S. Upadhyaya, M. Margala, “A new SoC test architecture with RF/wireless.
Register Placement for High- Performance Circuits M. Chiang, T. Okamoto and T. Yoshimura Waseda University, Japan DATE 2009.
1 Efficient Obstacle-Avoiding Rectilinear Steiner Tree Construction Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang National Taiwan.
Test Architecture Design and Optimization for Three- Dimensional SoCs Li Jiang, Lin Huang and Qiang Xu CUhk Reliable Computing Laboratry Department of.
1 ER UCLA ISPD: Sonoma County, CA, April, 2001 An Exact Algorithm for Coupling-Free Routing Ryan Kastner, Elaheh Bozorgzadeh,Majid Sarrafzadeh.
Solving the Maximum Cardinality Bin Packing Problem with a Weight Annealing-Based Algorithm Kok-Hua Loh University of Maryland Bruce Golden University.
CAS 721 Course Project Implementing Branch and Bound, and Tabu search for combinatorial computing problem By Ho Fai Ko ( )
Wrapper/TAM Optimization1 System-on-Chip (SoC) Testing SoC Wrapper/TAM Design.
Simultaneous Analog Placement and Routing with Current Flow and Current Density Considerations H.C. Ou, H.C.C. Chien and Y.W. Chang Electronics Engineering,
ILP-Based Inter-Die Routing for 3D ICs Chia-Jen Chang, Pao-Jen Huang, Tai-Chen Chen, and Chien-Nan Jimmy Liu Department of Electrical Engineering, National.
Improving NoC-based Testing Through Compression Schemes Érika Cota 1 Julien Dalmasso 2 Marie-Lise Flottes 2 Bruno Rouzeyre 2 WNOC
Maze Routing Algorithms with Exact Matching Constraints for Analog and Mixed Signal Designs M. M. Ozdal and R. F. Hentschke Intel Corporation ICCAD 2012.
LEMAR: A Novel Length Matching Routing Algorithm for Analog and Mixed Signal Circuits H. Yao, Y. Cai and Q. Gao EDA Lab, Department of CS, Tsinghua University,
1 L25 : Crosstalk-Concerned Physical Design (2) Jun Dong Cho Sungkyunkwan Univ. Dept. ECE Homepage :
Top-K Generation of Integrated Schemas Based on Directed and Weighted Correspondences by Ahmed Radwan, Lucian Popa, Ioana R. Stanoi, Akmal Younis Presented.
1 TCOM 5143 Lecture 10 Centralized Networks: Time Delay and Cost Tradeoffs.
L i a b l eh kC o m p u t i n gL a b o r a t o r y Modeling TSV Open Defects in 3D-Stacked DRAM Li Jiang †, Liu Yuxi †, Lian Duan ‡, Yuan Xie ‡, and Qiang.
Hantao Huang1, Hao Yu1, Cheng Zhuo2 and Fengbo Ren3
1 Double-Patterning Aware DSA Template Guided Cut Redistribution for Advanced 1-D Gridded Designs Zhi-Wen Lin and Yao-Wen Chang National Taiwan University.
Power-aware NOC Reuse on the Testing of Core-based Systems* CSCE 932 Class Presentation by Xinwang Zhang April 26, 2007 * Erika Cota, et al., International.
Die Stacking (3D) Microarchitecture Bryan Black, Murali Annavaram, Ned Brekelbaum, John DeVale, Lei Jiang, Gabriel H. Loh1, Don McCauley, Pat Morrow, Donald.
Unified Adaptivity Optimization of Clock and Logic Signals Shiyan Hu and Jiang Hu Dept of Electrical and Computer Engineering Texas A&M University.
Optimizing Distributed Actor Systems for Dynamic Interactive Services
Video Summarization by Spatial-Temporal Graph Optimization
Donghui Zhang, Tian Xia Northeastern University
Presentation transcript:

Layout-Driven Test-Architecture Design and Optimization for 3D SoCs under Pre-Bond Test- Pin-Count Constraint Li Jiang 1, Qiang Xu 1, Krishnendu Chakrabarty 2, and T. M. Mak 3 1 Deptartment of CS&E, The Chinese University of Hong Kong, Shatin, N.T., Hong Kong 2 Deptartment of ECE, Duke University, Durham, NC 3 Intel Corporation, Santa Clara, CA

Outline Background Motivation Approach Experiments Conclusion

Background TSV Technique Benefit of 3D IC Interconnect Performance Power Memory Bandwidth Heterogeneous Integration 1. Gabriel H. Loh. 3D-Stacked Memory Architectures for Multi-Core Processors. ISCA. 2008

Background Pre-bond Test W2W Simplicity of the Manufacturing Process Low Yield D2D & D2W Pre-bond Test High Yield

Background Test Architecture Design IEEE P1500 Standard TAM Manner TSV Pad Additional Pad Primary Pad Routing Model TAM Segment

Background Test-Pin-Count Constraint Fine-grained Touchdown Probe Needles Unavailable Impossible to fabricate a large number of test pads for pre-bond testing Area of Pad Probe Force to the Thinned Wafer

Outline Introduction Motivation Approach Experiments Conclusion

Motivation Separate Test Architectures for Pre-bond Tests and Post-bond Test Share the Routing Resources

Problem Definition Given Set of Cores Test Parameters (Scan chain, Pattern, Input/Output) of each core Physical Position of Each Core Maximum available TAM width pre-bond test-pin-count constraintWpre; Determine Number of TAM Core Assignment Width of each TAM Objectivity minimize the total test cost

Total Test Cost Test Cost Model C total = C Test-Time * α+ C Wire-Length *(1- α) C Test-Time = C Test-Chip + Σ C Test-Layer C Wire-Length depends on routing model Routing Model Manhattan Distance TAM Segment TSP 3 3.S. Goel and E. Marinissen. Layout-driven SOC test architecture design for test time and wire length minimization. In Proceedings IEEE/ACM Design, Automation and Test in Europe Conference and Exhibition, pages 738–743, 2003.

Outline Introduction Motivation Approach TAM Wire Reuse with Fixed Test Architectures TAM Wire Reuse with Flexible Pre-bond Test Architecture Experiments Conclusion

TAM Wire Reuse with Fixed Test Architectures Test Architecture Optimization for Both Post- bond Test and Pre-bond Test Fix the TAM (width, core assignment) Post-bond TAM Routing Identification of Reusable TAM Segments Pre-bond TAM Routing

TAM Wire Reuse with Fixed Test Architectures Post-bond TAM Routing Construct the Complete Graph Sort Edges Greedy Choose Update the Candidates Not TSP

TAM Wire Reuse with Fixed Test Architectures Identification of Reusable TAM Segments Manhattan Distance and Bounding Rectangles Overlapping Bounding Rectangles Impact of Relative Slope

TAM Wire Reuse with Fixed Test Architectures Pre-bond TAM Routing Get Possible Reusable Post-bond TAM Segments Construct Completed Graph G i for Every Pre-bond TAM in the layer, and put all G i together into SG. Build List for Each Pre-bond TAM Segment, Store All Possible Reusable Candidates into the List Combined with the Routing Cost after Reuse. Sort the list According to the Routing Cost In Every Iteration, Choose the Segment with Least Routing Cost Move it into EG Delete this Reused Segment from all other edges in SG Update the Candidate Segment Obtain the Routing Result and its Cost

TAM Wire Reuse with Fixed Test Architectures Example

TAM Wire Reuse with Flexible Pre-bond Test Architecture Change test architecture for pre-bond tests, further reduce their routing cost Sacrifice only limited testing time

TAM Wire Reuse with Flexible Pre-bond Test Architecture Outer SA-based Core Assignment Rules Redundancy Two ascending order If i<j, keep the smallest core index assigned to TAM i smaller than that assigned to TAM j Prove of completeness

TAM Wire Reuse with Flexible Pre-bond Test Architecture Inner TAM Width Allocation Procedure Short running time Greedy Heuristic Close-to-optimal Solution 4 4. S. K. Goel and E. J. Marinissen. Effective and Efficient Test Architecture Design for SOCs. In Proceedings IEEE International Test Conference (ITC), pages 529–538, Baltimore, MD, Oct

Outline Introduction Motivation Approach Experiments Conclusion

Experiments Results Width (bit) Routing Fix Time Compensate Routing Flexible Routing Fix Time Compensate Routing Flexible 16 P22810P %1.16%-32.30% P93791P %2.63%-47.34% %1.09%-25.50%-12.41%0.30%-43.55% %0.93%-36.90%-7.29%1.86%-49.39% %0.48%-43.40%-9.07%2.58%-44.54% %0.50%-24.90%-13.36%0.71%-47.76% %0.23%-37.30%-12.34%1.53%-46.23% %0.54%-35.80%-10.81%1.71%-48.80%

Experiments Results Width (bit) Routing Fix Time Compensate Routing Flexible Routing Fix Time Compensa te Routing Flexible 16 P34392P %-0.54%-48.79% T512505T %0%-26.70% %-0.62%-36.93%-10.57%0.33%-26.76% %0.16%-44.69%-16.37%0.43%-41.73% %-2.55%-43.67%-11.61%1.35%-26.42% %-0.33%-29.33%-11.61%0.88%-26.42% %15.77%-31.25%-11.61%0.43%-26.42% %11.86%-32.06%-11.61%1.59%-26.42%

Experiments Results

Outline Introduction Motivation Approach Experiments Conclusion

Only fabricate a limited number of test pads for pre-bond testing Dedicated pre-bond and post-bond test architectures to satisfy the given test pad constraint Novel layout-driven optimization techniques to share the TAM routing resources between pre- bond tests and post-bond test

Thank You Q & A