A Power Grid Analysis and Verification Tool Based on a Statistical Prediction Engine M.K. Tsiampas, D. Bountas, P. Merakos, N.E. Evmorfopoulos, S. Bantas.

Slides:



Advertisements
Similar presentations
Variation Aware Gate Delay Models Dinesh Ganesan.
Advertisements

The need for AMS assertions Verify the analog/digital interfaces at block and SoC levels –Check properties involving voltages and currents –Check complex.
Design Rule Generation for Interconnect Matching Andrew B. Kahng and Rasit Onur Topaloglu {abk | rtopalog University of California, San Diego.
Lect.3 Modeling in The Time Domain Basil Hamed
Maximum Battery Life Routing to Support Ubiquitous Mobile Computing in Wireless Ad Hoc Networks By C. K. Toh.
NTHU-CS VLSI/CAD LAB TH EDA De-Shiuan Chiou Da-Cheng Juan Yu-Ting Chen Shih-Chieh Chang Department of CS, National Tsing Hua University, Taiwan Fine-Grained.
Timing Margin Recovery With Flexible Flip-Flop Timing Model
Xing Wei, Wai-Chung Tang, Yu-Liang Wu Department of Computer Science and Engineering The Chinese University of HongKong
Adaptive Control of a Multi-Bias S-Parameter Measurement System Dr Cornell van Niekerk Microwave Components Group University of Stellebosch South Africa.
Computer Science & Engineering Department University of California, San Diego SPICE Diego A Transistor Level Full System Simulator Chung-Kuan Cheng May.
Paul Falkenstern and Yuan Xie Yao-Wen Chang Yu Wang Three-Dimensional Integrated Circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis ASPDAC’10.
All Hands Meeting, 2006 Title: Grid Workflow Scheduling in WOSE (Workflow Optimisation Services for e- Science Applications) Authors: Yash Patel, Andrew.
Post-Placement Voltage Island Generation for Timing-Speculative Circuits Rong Ye†, Feng Yuan†, Zelong Sun†, Wen-Ben Jone§ and Qiang Xu†‡
1 Closed-Loop Modeling of Power and Temperature Profiles of FPGAs Kanupriya Gulati Sunil P. Khatri Peng Li Department of ECE, Texas A&M University, College.
Yuanlin Lu Intel Corporation, Folsom, CA Vishwani D. Agrawal
Minimum Dynamic Power CMOS Circuit Design by a Reduced Constraint Set Linear Program Tezaswi Raja Vishwani Agrawal Michael L. Bushnell Rutgers University,
CMOS Circuit Design for Minimum Dynamic Power and Highest Speed Tezaswi Raja, Dept. of ECE, Rutgers University Vishwani D. Agrawal, Dept. of ECE, Auburn.
Aug 23, ‘021Low-Power Design Minimum Dynamic Power Design of CMOS Circuits by Linear Program Using Reduced Constraint Set Vishwani D. Agrawal Agere Systems,
May 14, ISVLSI 09 Algorithms for Estimating Number of Glitches and Dynamic Power in CMOS Circuits with Delay Variations Jins Davis Alexander Vishwani.
1 Dynamic Power Estimation With Process Variation Modeled as Min–Max Delay Jins Davis Alexander Vishwani D. Agrawal Department of Electrical and Computer.
ECE Synthesis & Verification1 ECE 667 Spring 2011 Synthesis and Verification of Digital Systems Verification Introduction.
TH EDA NTHU-CS VLSI/CAD LAB 1 Re-synthesis for Reliability Design Shih-Chieh Chang Department of Computer Science National Tsing Hua University.
March 16, 2009SSST'091 Computing Bounds on Dynamic Power Using Fast Zero-Delay Logic Simulation Jins Davis Alexander Vishwani D. Agrawal Department of.
Supply Voltage Degradation Aware Analytical Placement Andrew B. Kahng, Bao Liu and Qinke Wang UCSD CSE Department {abk, bliu,
May 28, 2003Minimum Dynamic Power CMOS1 Minimum Dynamic Power CMOS Circuits Vishwani D. Agrawal Rutgers University, Dept. of ECE Piscataway, NJ 08854
NTHU-CS VLSI/CAD LAB TH EDA Student : Da-Cheng Juan Advisor : Shih-Chieh Chang Fine-Grained Sleep Transistor Sizing Algorithm for Leakage Power Minimization.
Chung-Kuan Cheng†, Andrew B. Kahng†‡,
On-Line Adjustable Buffering for Runtime Power Reduction Andrew B. Kahng Ψ Sherief Reda † Puneet Sharma Ψ Ψ University of California, San Diego † Brown.
1 32-bit parallel load register with clock gating ECE Department, 200 Broun Hall, Auburn University, Auburn, AL 36849, USA Lan Luo ELEC.
Chapter 14 Simulation. Monte Carlo Process Statistical Analysis of Simulation Results Verification of the Simulation Model Computer Simulation with Excel.
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
EE 241 Class Project Substrate Noise Current Injected by Digital IP Cores Stefano Zanella Mentor: Luca Carloni.
Decoupling Capacitance Allocation for Power Supply Noise Suppression Shiyou Zhao, Kaushik Roy, Cheng-Kok Koh School of Electrical & Computer Engineering.
More Realistic Power Grid Verification Based on Hierarchical Current and Power constraints 2 Chung-Kuan Cheng, 2 Peng Du, 2 Andrew B. Kahng, 1 Grantham.
1 Assessment of Imprecise Reliability Using Efficient Probabilistic Reanalysis Farizal Efstratios Nikolaidis SAE 2007 World Congress.
SUDOKU Via Relaxation Labeling
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Interconnect design. n Crosstalk. n Power optimization.
ICCAD 2003 Algorithm for Achieving Minimum Energy Consumption in CMOS Circuits Using Multiple Supply and Threshold Voltages at the Module Level Yuvraj.
CAFE router: A Fast Connectivity Aware Multiple Nets Routing Algorithm for Routing Grid with Obstacles Y. Kohira and A. Takahashi School of Computer Science.
Verification & Validation
Target Tracking with Binary Proximity Sensors: Fundamental Limits, Minimal Descriptions, and Algorithms N. Shrivastava, R. Mudumbai, U. Madhow, and S.
Introduction to VLSI Design – Lec01. Chapter 1 Introduction to VLSI Design Lecture # 2 A Circuit Design Example.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
Statistical Sampling-Based Parametric Analysis of Power Grids Dr. Peng Li Presented by Xueqian Zhao EE5970 Seminar.
Prognosis of gear health using stochastic dynamical models with online parameter estimation 10th International PhD Workshop on Systems and Control a Young.
Brian Macpherson Ph.D, Professor of Statistics, University of Manitoba Tom Bingham Statistician, The Boeing Company.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Pseudo-nMOS gates. n DCVS logic. n Domino gates. n Design-for-yield. n Gates as IP.
PROCESS MODELLING AND MODEL ANALYSIS © CAPE Centre, The University of Queensland Hungarian Academy of Sciences Statistical Model Calibration and Validation.
A Trust Based Distributed Kalman Filtering Approach for Mode Estimation in Power Systems Tao Jiang, Ion Matei and John S. Baras Institute for Systems Research.
1 Leakage Power Analysis of a 90nm FPGA Authors: Tim Tuan (Xilinx), Bocheng Lai (UCLA) Presenter: Sang-Kyo Han (ECE, University of Maryland) Published.
Xuanxing Xiong and Jia Wang Electrical and Computer Engineering Illinois Institute of Technology Chicago, Illinois, United States November, 2011 Vectorless.
Power Integrity Test and Verification CK Cheng UC San Diego 1.
1 1 Slide Simulation Professor Ahmadi. 2 2 Slide Simulation Chapter Outline n Computer Simulation n Simulation Modeling n Random Variables and Pseudo-Random.
Properties Incompleteness Evaluation by Functional Verification IEEE TRANSACTIONS ON COMPUTERS, VOL. 56, NO. 4, APRIL
Resource Allocation in Hospital Networks Based on Green Cognitive Radios 王冉茵
INM 2008 Orlando, Florida A Hidden Markov Model Approach to Available Bandwidth Estimation and Monitoring Cesar D. Guerrero Miguel A. Labrador Department.
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 6.1 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng.
-1- UC San Diego / VLSI CAD Laboratory Optimization of Overdrive Signoff Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li and Siddhartha Nath Tuck-Boon Chan,
Slide 1/20 Defending Against Strategic Adversaries in Dynamic Pricing Markets for Smart Grids Paul Wood, Saurabh Bagchi Purdue University
An Exact Algorithm for Difficult Detailed Routing Problems Kolja Sulimma Wolfgang Kunz J. W.-Goethe Universität Frankfurt.
Circuit Simulation using Matrix Exponential Method Shih-Hung Weng, Quan Chen and Chung-Kuan Cheng CSE Department, UC San Diego, CA Contact:
Dept. of Electronics Engineering & Institute of Electronics National Chiao Tung University Hsinchu, Taiwan ISPD’16 Generating Routing-Driven Power Distribution.
1 Hardware Reliability Margining for the Dark Silicon Era Liangzhen Lai and Puneet Gupta Department of Electrical Engineering University of California,
On the Relation Between Simulation-based and SAT-based Diagnosis CMPE 58Q Giray Kömürcü Boğaziçi University.
Unified Adaptivity Optimization of Clock and Logic Signals Shiyan Hu and Jiang Hu Dept of Electrical and Computer Engineering Texas A&M University.
Piero Belforte, HDT 1999: PRESTO POWER by Alessandro Arnulfo.
Modeling of Dc-dc Boost Converter in Discontinuous Conduction Mode
Soft Error Detection for Iterative Applications Using Offline Training
Circuit Design Techniques for Low Power DSPs
FPGA Glitch Power Analysis and Reduction
Presentation transcript:

A Power Grid Analysis and Verification Tool Based on a Statistical Prediction Engine M.K. Tsiampas, D. Bountas, P. Merakos, N.E. Evmorfopoulos, S. Bantas and G.I. Stamoulis ICECS 2010 Tools, Techniques & Circuits for Low-Power Consumer Electronics

Outline Motivation Prior Work NanoPower Statistical Prediction Engine Statistical Prediction Engine in multi mode design Experimental results Conclusion

Motivation Voltage-drop on the power supply network Ground bounce respectively on the ground network – Cells do not operate with the nominal power/ground supply – Signal integrity issues – Timing Which is the worst case voltage drop ? – Designer would have to check the voltage drops that occur from the simulation of all possible input vector pairs... – Prohibitive amount of simulations for modern ICs that have hundreds of inputs

Prior Work Vector-less pseudo dynamic methods. – Cannot determine with accuracy relationships between different sinks and formulate them as constraints. – Current constraints have the form of vague upper bounds and thus will only generate a pessimistic upper bound of voltage drop rather than a tight approximation. – These constraints only involve linear relationships between sink currents. Vector-based methods. – Accurate in calculating voltage drop for this particular vector sequence – Prohibitively large number of all possible input vectors to simulate – No formal methods that provide a set of vectors which is guaranteed to excite the worst-case voltage drops

NanoPower (1/2) Fast, accurate and reliable prediction of the worst case voltage waveforms over each tap-point of the power supply net of the IC. Three lynchpin technologies (modules): – An accurate RLCK extraction engine to model the power supply network. – A high capacity digital (gate level) simulation engine with grid awareness. – A statistical prediction engine to estimate the worst case voltage waveforms.

NanoPower (2/2) NanoPower works internally in an iteration loop between the digital simulator and the linear solver that simulates the power supply network. 3-5 iterations between the two simulators are enough to converge to within 2-3% of SPICE.

Statistical Prediction Engine (1/3) Independent approaches so far : – Mostly heuristic or over-simplified – Could not provide the accuracy needed for the design of deep-submicron ICs A Statistical Prediction Engine based on the Extreme Value Theory – No need to identify and simulate the vector pairs that generate the worst- case voltage drop – Simulate the design for ~2500 random input vectors – Locate the maximal among the points of the sample space S resulted by the 2500 vectors – Shift the maximal points of the sample space S by a computed difference vector d and generate the excitation space D

Statistical Prediction Engine (2/3) Confidence interval : – Define the interval of the voltage values for each time value in a period where the true worst-case voltage will fall into – Depend on the size of the input vectors set

Statistical Prediction Engine (3/3) At each via correspond 3 waveforms : – 1 waveform giving the true worst case voltage – 2 waveforms determining the confidence interval

Statistical Engine in Multi Mode Designs Modern ICs function in multiple modes of operation – The set of all possible input vectors is separated into subsets – Each vector subset forces the design operate in a specific mode – Each mode corresponds to a specific average current consumption Solution : – A sufficient number of the input vectors for simulation to be part of the right most lobe

Experimental Test and Results Design : H264 (~ standard cells ) Technology : 65nm CMOS technology (TSMC) Simulation vectors : 3000 (random) Iterations : 3 Nominal voltage : 1.0 V

Conclusion Complete methodology encapsulated in a tool called NanoPower, for power grid analysis and verification – Able to calculate the voltage waveforms for all the vias in a placed and routed design – Predicts the worst case voltage waveforms at each via of the power supply network – Uses a very small, internally generated, subset of the overall possible input vectors set – The Statistical Prediction Engine used by NanoPower is based on solid mathematical foundation

Thank you Questions ?