Discrete Gate Sizing CENG 5270 – Tutorial 9 WILLIAM CHOW.

Slides:



Advertisements
Similar presentations
Gregory Shklover, Ben Emanuel Intel Corporation MATAM, Haifa 31015, Israel Simultaneous Clock and Data Gate Sizing Algorithm with Common Global Objective.
Advertisements

Advanced Interconnect Optimizations. Buffers Improve Slack RAT = 300 Delay = 350 Slack = -50 RAT = 700 Delay = 600 Slack = 100 RAT = 300 Delay = 250 Slack.
Buffer and FF Insertion Slides from Charles J. Alpert IBM Corp.
ELEN 468 Lecture 261 ELEN 468 Advanced Logic Design Lecture 26 Interconnect Timing Optimization.
1 Modeling and Optimization of VLSI Interconnect Lecture 9: Multi-net optimization Avinoam Kolodny Konstantin Moiseev.
1 Routing and Wavelength Assignment in Wavelength Routing Networks.
1 EL736 Communications Networks II: Design and Algorithms Class8: Networks with Shortest-Path Routing Yong Liu 10/31/2007.
Chapter 4: Network Layer
All Pairs Shortest Paths and Floyd-Warshall Algorithm CLRS 25.2
Tirgul 12 Algorithm for Single-Source-Shortest-Paths (s-s-s-p) Problem Application of s-s-s-p for Solving a System of Difference Constraints.
NuCAD ELECTRICAL ENGINEERING AND COMPUTER SCIENCE McCormick Northwestern University Robert R. McCormick School of Engineering and Applied Science FA-STAC.
1 DAOmap: A Depth-optimal Area Optimization Mapping Algorithm for FPGA Designs Deming Chen, Jacon Cong ICCAD 2004 Presented by: Wei Chen.
Interconnect Optimizations. A scaling primer Ideal process scaling: –Device geometries shrink by  = 0.7x) Device delay shrinks by  –Wire geometries.
EE4271 VLSI Design Interconnect Optimizations Buffer Insertion.
Statistical timing and synthesis Chandu paper. Canonical form Compute max(A,B) = C in canonical form (assuming  X i independent)
Interconnect Optimizations
1 UCSD VLSI CAD Laboratory ISQED-2009 Revisiting the Linear Programming Framework for Leakage Power vs. Performance Optimization Kwangok Jeong, Andrew.
NuCAD ELECTRICAL ENGINEERING AND COMPUTER SCIENCE McCormick Northwestern University Robert R. McCormick School of Engineering and Applied Science Nostra-XTalk.
Hard Optimization Problems: Practical Approach DORIT RON Tel Ziskind room #303
High-Speed Circuit-Tuning Techniques Based on Lagrangian Relaxation Charlie Chung-Ping Chen (608)
Fall 06, Sep 14 ELEC / Lecture 5 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits (Formerly ELEC / )
ELEN 468 Lecture 271 ELEN 468 Advanced Logic Design Lecture 27 Interconnect Timing Optimization II.
Pei-Ci Wu Martin D. F. Wong On Timing Closure: Buffer Insertion for Hold-Violation Removal DAC’14.
1 Circuit Partitioning Presented by Jill. 2 Outline Introduction Cut-size driven circuit partitioning Multi-objective circuit partitioning Our approach.
The Knapsack Problem Input –Capacity K –n items with weights w i and values v i Goal –Output a set of items S such that the sum of weights of items in.
Graph partition in PCB and VLSI physical synthesis Lin Zhong ELEC424, Fall 2010.
Escape Routing For Dense Pin Clusters In Integrated Circuits Mustafa Ozdal, Design Automation Conference, 2007 Mustafa Ozdal, IEEE Trans. on CAD, 2009.
CSC 778 Presentation Waveband Switching Neil D’souza Jonathan Grice.
CAFE router: A Fast Connectivity Aware Multiple Nets Routing Algorithm for Routing Grid with Obstacles Y. Kohira and A. Takahashi School of Computer Science.
Flows and Networks Plan for today (lecture 5): Last time / Questions? Blocking of transitions Kelly / Whittle network Optimal design of a Kelly / Whittle.
EE415 VLSI Design DYNAMIC LOGIC [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
POWER-DRIVEN MAPPING K-LUT-BASED FPGA CIRCUITS I. Bucur, N. Cupcea, C. Stefanescu, A. Surpateanu Computer Science and Engineering Department, University.
1 Coupling Aware Timing Optimization and Antenna Avoidance in Layer Assignment Di Wu, Jiang Hu and Rabi Mahapatra Texas A&M University.
Cascade Correlation Architecture and Learning Algorithm for Neural Networks.
EE 5900 Advanced Algorithms for Robust VLSI CAD, Spring 2009 Static Timing Analysis and Gate Sizing.
Wen-Hao Liu 1, Yih-Lang Li 1, and Kai-Yuan Chao 2 1 Department of Computer Science, National Chiao-Tung University, Hsin-Chu, Taiwan 2 Intel Architecture.
A NEW ECO TECHNOLOGY FOR FUNCTIONAL CHANGES AND REMOVING TIMING VIOLATIONS Jui-Hung Hung, Yao-Kai Yeh,Yung-Sheng Tseng and Tsai-Ming Hsieh Dept. of Information.
De-Nian Young Ming-Syan Chen IEEE Transactions on Mobile Computing Slide content thanks in part to Yu-Hsun Chen, University of Taiwan.
1 CS612 Algorithms for Electronic Design Automation CS 612 – Lecture 8 Lecture 8 Network Flow Based Modeling Mustafa Ozdal Computer Engineering Department,
ECES 741: Stochastic Decision & Control Processes – Chapter 1: The DP Algorithm 31 Alternative System Description If all w k are given initially as Then,
Ho-Lin Chang, Hsiang-Cheng Lai, Tsu-Yun Hsueh, Wei-Kai Cheng, Mely Chen Chi Department of Information and Computer Engineering, CYCU A 3D IC Designs Partitioning.
A Routing Approach to Reduce Glitches in Low Power FPGAs Quang Dinh, Deming Chen, Martin D. F. Wong Department of Electrical and Computer Engineering University.
Optimization Flow Control—I: Basic Algorithm and Convergence Present : Li-der.
EEE2243 Digital System Design Chapter 7: Advanced Design Considerations by Muhazam Mustapha, extracted from Intel Training Slides, April 2012.
ECO Timing Optimization Using Spare Cells Yen-Pin Chen, Jia-Wei Fang, and Yao-Wen Chang ICCAD2007, Pages ICCAD2007, Pages
4. Combinational Logic Networks Layout Design Methods 4. 2
Optimal Fueling Strategies for Locomotive Fleets in Railroad Networks Seyed Mohammad Nourbakhsh Yanfeng Ouyang 1 William W. Hay Railroad Engineering Seminar.
Fast Algorithms for Slew Constrained Minimum Cost Buffering S. Hu*, C. Alpert**, J. Hu*, S. Karandikar**, Z. Li*, W. Shi* and C. Sze** *Dept of ECE, Texas.
UW-Madison Gate Sizing Based on Lagrangian Relaxation Yu-Min Lee Advisor: Charlie Chung-Ping Chen.
EE 685 presentation Optimization Flow Control, I: Basic Algorithm and Convergence By Steven Low and David Lapsley.
Timing-Driven Routing for FPGAs Based on Lagrangian Relaxation
Routing Tree Construction with Buffer Insertion under Obstacle Constraints Ying Rao, Tianxiang Yang Fall 2002.
EE 4271 VLSI Design, Fall 2013 Static Timing Analysis and Gate Sizing Optimization.
Maze Routing Algorithms with Exact Matching Constraints for Analog and Mixed Signal Designs M. M. Ozdal and R. F. Hentschke Intel Corporation ICCAD 2012.
1  Problem: Consider a two class task with ω 1, ω 2   LINEAR CLASSIFIERS.
Introduction to Clock Tree Synthesis
1 CS612 Algorithms for Electronic Design Automation CS 612 – Lecture 8 Lecture 8 Network Flow Based Modeling Mustafa Ozdal Computer Engineering Department,
1 Slides by Yong Liu 1, Deep Medhi 2, and Michał Pióro 3 1 Polytechnic University, New York, USA 2 University of Missouri-Kansas City, USA 3 Warsaw University.
1 EE5900 Advanced Embedded System For Smart Infrastructure Static Scheduling.
High-Speed Circuit-Tuning Techniques Based on Lagrangian Relaxation Charlie Chung-Ping Chen ICCAD 99’ Embedded Tutorial Session 12A
Static Timing Analysis
Incorporating Driver Sizing Into Buffer Insertion Via a Delay Penalty Technique Chuck Alpert, IBM Chris Chu, Iowa State Milos Hrkic, UIC Jiang Hu, IBM.
Example Apply hierarchical clustering with d min to below data where c=3. Nearest neighbor clustering d min d max will form elongated clusters!
A Novel Timing-Driven Global Routing Algorithm Considering Coupling Effects for High Performance Circuit Design Jingyu Xu, Xianlong Hong, Tong Jing, Yici.
1 Timing Closure and the constant delay paradigm Problem: (timing closure problem) It has been difficult to get a circuit that meets delay requirements.
Energy functions f(p)  {0,1} : Ising model Can solve fast with graph cuts V( ,  ) = T[  ] : Potts model NP-hard Closely related to Multiway Cut Problem.
Static Timing Analysis and Gate Sizing Optimization
Static Timing Analysis and Gate Sizing Optimization
EE5900 Advanced Embedded System For Smart Infrastructure
Submitted by HARSHITHA G H
Presentation transcript:

Discrete Gate Sizing CENG 5270 – Tutorial 9 WILLIAM CHOW

Discrete Gate Sizing Given design D that contains: ◦Set of standard cells C ◦Set of pins P on these cells ◦Set of Nets N D N1N1 N2N2 N3N3 N4N4 N5N5 N6N6 N7N7 N8N8 PI PO C1C1 C2C2 C3C3 C4C4 C5C5 S c1 Power = 2uW Power = 4uW Power = 8uW

Discrete Gate Sizing D N1N1 N2N2 N3N3 N4N4 N5N5 N6N6 N7N7 N8N8 PI PO C1C1 C2C2 C3C3 C4C4 C5C5 S c1 Power = 2uW Power = 4uW Power = 8uW

Discrete Gate Sizing D N1N1 N2N2 N3N3 N4N4 N5N5 N6N6 N7N7 N8N8 PI PO C1C1 C2C2 C3C3 C4C4 C5C5 S c1 Power = 2uW Power = 4uW Power = 8uW

Slack Signal at primary input (PI) must arrive primary output (PO) within target delay Slack = actual arrival time (AAT) – required arrival time (RAT) Actual arrival time Required arrival time

Slack Actual arrival time Required arrival time Slack Total Negative Slack (TNS) denote the absolute value of the total negative slack of all PO TNS = 7

Delay Tables (DT) Slew Tables (ST) ◦Cell delays and slews are defined using delay tables and slew tables. ◦The timing arcs are defined from input pins of the cell to the output pin (rising and falling). ◦Timing arc delay = DT[in_slew, out_load] ◦Timing arc slew = ST[in_slew, out_load] out_load=50fF in_slew=80ps DT fall ST fall DT rise ST rise

Difficulties Changing cell size affect neighboring gates’ delay Capacitance increase Slew decrease

Difficulties Other constraints: ◦Capacitance constraint ◦Slew constraint ◦Wire delay ◦Area constraint ◦We don’t consider these in this tutorial

Problem Formulation

Lagrangian Relaxation We integrate the constraints to the original objective function and obtain the Lagrangian-Relaxed Subproblem (LRS):

Lagrangian Relaxation Based on Kuhn-Tucker conditions, the sum of multipliers on incoming arcs of a node must be equal to the sum of multipliers on its outgoing arcs.

Lagrangian Relaxation

Graph Model Use a graph model that captures the Lagrangian relaxed subproblem Select cell size with the graph model

Graph Model What is the minimal cost selection?

Graph Model What is the minimal cost selection?

Graph Model What is the minimal cost selection?

Graph Model What is the minimal cost selection?

Graph Model ◦Begin with an arbitrary size selection ◦Define reference cell types as the current selected cell types ◦For node weight, we consider: ◦Leakage power of cell type ◦Gate delay change without changing downstream cell types ◦For edge weight, we consider: ◦Gate delay change due to change of downstream cell types

Graph Model

The Algorithm Produce an initial arbitrary solution Run static timing analysis While objective function is not converge ◦Update Lagrange multipliers ◦Choose size with dynamic programming using the graph model ◦Run static timing analysis ◦Update objective function

Refrences [1] M. M. Ozdal, S. Burns, J. Hu, "Gate Sizing and Device Technology Selection Algorithms for High-Performance Industrial Designs", ICCAD 2010