(1) Programming Mechanics © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.

Slides:



Advertisements
Similar presentations
HDL Programming Fundamentals
Advertisements

COE 405 VHDL Basics Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Dr. Aiman H. El-Maleh Computer Engineering.
1 Introduction to VHDL (Continued) EE19D. 2 Basic elements of a VHDL Model Package Declaration ENTITY (interface description) ARCHITECTURE (functionality)
VHDL Programming in CprE 381 Zhao Zhang CprE 381, Fall 2013 Iowa State University Last update: 9/15/2013.
LECTURE 4: The VHDL N-bit Adder
Mridula Allani Fall 2010 (Refer to the comments if required) ELEC Fall 2010, Nov 21(Adopted from Profs. Nelson and Stroud)
9/18/08 Lab 2 - Solution TA: Jorge. 9/18/08 Half-adder.
Lab Lecture 3 VHDL Architecture styles and Test Bench -Aahlad.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
VHDL Quick Start Peter J. Ashenden The University of Adelaide.
Managing design complexity Partition of designs Typical design process using VHDL Test Bed A VHDL example.
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
Topics Entity DeclarationsEntity Declarations Port ClausePort Clause Component DeclarationComponent Declaration Configuration DeclarationConfiguration.
ECE 331 – Digital System Design Single-bit Adder Circuits and Adder Circuits in VHDL (Lecture #12) The slides included herein were taken from the materials.
ECE 331 – Digital System Design
1 Data Object Object Types A VHDL object consists of one of the following: –Signal, Which represents interconnection wires that connect component instantiation.
1 H ardware D escription L anguages Basic Language Concepts.
Modeling styles: 1. Structural Modeling: As a set of interconnected components (to represent structure), 2. Dataflow Modeling: As a set of concurrent assignment.
IAY 0600 Digital Systems Design
IAY 0600 Digitaalsüsteemide disain Event-Driven Simulation Alexander Sudnitson Tallinn University of Technology.
VHDL TUTORIAL Preetha Thulasiraman ECE 223 Winter 2007.
ENG6090 Reconfigurable Computing Systems Hardware Description Languages Part 6: Configurations.
Figure 5.1 Conversion from decimal to binary. Table 5.1 Numbers in different systems.
1 H ardware D escription L anguages Modeling Digital Systems.
ENG6090 RCS1 ENG6090 Reconfigurable Computing Systems Hardware Description Languages Part 5: Modeling Structure.
Language Concepts Ver 1.1, Copyright 1997 TS, Inc. VHDL L a n g u a g e C o n c e p t s Page 1.
RTL Hardware Design by P. Chu Chapter Basic VHDL program 2. Lexical elements and program format 3. Objects 4. Data type and operators RTL Hardware.
CWRU EECS 317 EECS 317 Computer Design LECTURE 1: The VHDL Adder Instructor: Francis G. Wolff Case Western Reserve University.
Basic Overview of VHDL Matthew Murach Slides Available at:
Introduction to VLSI Design – Lec01. Chapter 1 Introduction to VLSI Systems Lecture # 6 Computer-Aided Design Technology for VLSI.
Copyright(c) 1996 W. B. Ligon III1 Getting Started with VHDL VHDL code is composed of a number of entities Entities describe the interface of the component.
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics VHDL register-transfer modeling: –basics using traffic light controller; –synthesis.
Introduction to VLSI Design – Lec01. Chapter 1 Introduction to VLSI Design Lecture # 11 High Desecration Language- Based Design.
1 component OR_3 port (A,B,C: in bit; Z: out bit); end component ; Reserved Words  Declarations of Components and Entities are similar  Components are.
ECE 331 – Digital System Design Single-bit Adder Circuits and Adder Circuits in VHDL (Lecture #11) The slides included herein were taken from the materials.
Generate Statement A generate statement provides a mechanism for iterative or conditional elaboration of a portion of description. The iterative elaboration.
(1) Basic Language Concepts © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
Hardware languages "Programming"-language for modelling of (digital) hardware 1 Two main languages: VHDL (Very High Speed Integrated Circuit Hardware Description.
1 Introduction to VHDL Part 2 Fall We will use Std_logic And, Or have same precedence See slide 8 of part 1.
Digital System Projects
CWRU EECS 318 EECS 318 CAD Computer Aided Design LECTURE 3: The VHDL N-bit Adder Instructor: Francis G. Wolff Case Western Reserve.
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
VHDL Discussion Subprograms IAY 0600 Digital Systems Design Alexander Sudnitson Tallinn University of Technology 1.
Digital Design Using VHDL and PLDs ECOM 4311 Digital System Design Chapter 1.
IAY 0600 Digital Systems Design Event-Driven Simulation VHDL Discussion Alexander Sudnitson Tallinn University of Technology.
(1) Modeling Structure © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
IAY 0600 Digital Systems Design VHDL discussion Structural style Modular design and hierarchy Part 1 Alexander Sudnitson Tallinn University of Technology.
An Introduction to V.H.D.L.. Need of a Compiler… main( ) { int x=10,y=20,z; z = x + y ; printf ( “ %d “, z ); getch( ) ; } What’s That ? Give me only.
IAY 0600 Digital Systems Design
Elements of Structural Models
Structural style Modular design and hierarchy Part 1
Basic Language Concepts
IAY 0600 Digitaalsüsteemide disain
HDL simulation and Synthesis (Marks16)
Behavioral Style Combinational Design with VHDL
IAY 0600 Digital Systems Design
Structural style Modular design and hierarchy Part 1
Behavioral Style Combinational Design with VHDL
IAY 0600 Digital Systems Design
ECE 434 Advanced Digital System L08
Peter J. Ashenden The University of Adelaide
IAS 0600 Digital Systems Design
Structural style Modular design and hierarchy Part 1
IAY 0600 Digital Systems Design
VHDL Discussion Subprograms
Chapter 5 – Number Representation and Arithmetic Circuits
VHDL Discussion Subprograms
IAS 0600 Digital Systems Design
Digital Systems Design 2
Presentation transcript:

(1) Programming Mechanics © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006

(2) Design Units Basic unit of VHDL programming is a design unit which is one of the following architecture-3 architecture-2 architecture-1 entity configuration package header package body binding Primary Design Units Secondary Design Units

(3) Name Spaces Multiple instances of a name can exist –Local names are the default –Provide the full path name for a name Visibility of names –Visibility of a name follows the hierarchy Declared in a package  all design units that use the package Entity  in architectures used for this entity Architecture  processes used in the architecture Process  in the process Subprogram  subprogram

(4) Compilation, Naming and Linking Design unit names are used to construct intermediate file names The libraries WORK and STD Design File VHDL Analyzer WORKSTDIEEE standard.vhd std_logic_1164.vhd textio.vhd Library full_adder.vhd half_adder.vhd..... Sources and analyzed design units

(5) Project Management Use packages to separate synthesizable from simulatable Change secondary design units without recompilation of the design hieararchy Use several configurations –Record/compare different architectures

(6) Basic Steps: Simulation Analysis (Compilation) and Analysis Order –Primary vs. secondary design units –Organization of design units and files architecture structural of full_adder is component half_adder is port (a, b : in std_logic; sum, carry : out std_logic); end component half_adder; component or_2 is port (a, b : in std_logic; c : out std_logic); end component or_2; signal s1, s2, s3 : std_logic; begin H1: half_adder port map (a => In1, b => In2, sum => s1, carry=> s3); H2: half_adder port map (a => s1, b => c_in, sum => sum, carry => s2); O1: or_2 port map (a => s2, b => s3, c => c_out); end architecture structural;

(7) Compilation Dependencies Compilation dependencies follow hardware dependencies –Changes in the interface –Architecture changes can be insulated Note that recompilation is interpreted as a change –Locating architectures and entities in the same file –Creates dependencies that may not in fact exist Micro 3284 entity board is port (…. entity micro3284 is port (.. dependency

(8) Basic Steps: Simulation Elaboration –Of the hierarchy  produces a netlist of processes – Of declarations Generics and type checking – Storage allocation – Initialization s1 s2 s3 s4 s5 s6 z

(9) Basic Steps: Simulation Initialization –All processes are executed until suspended by wait statements or sensitivity lists –All nets initialized to default or user specified values –Initialize simulation time Simulation –Discrete event simulation –Two step model of time Set net values Execute all affected processes and schedule new values for nets –Simulator step time