Polymer Synthesis CHEM 421 “Organic imaging materials: a view of the future” J. Phys. Org. Chem. 2000, 13, 767 “Advances in Patterning Materials for 193.

Slides:



Advertisements
Similar presentations
Malaviya National Institute of Technology
Advertisements

Science Saturday --- October 1, Nanotechnology Exciting new science and technology for the 21st century IBM chipUMass LogoTI mirror array.
(and briefly, Electrodeposition)
Nanoscience, Nanotechnology and Nanomanufacturing Exciting new science and technology for the 21st century.
Advanced Manufacturing Choices
Polymer Synthesis CHEM 421 Reading (Odian Book): Chapter 1-4, 1-5, 1-6.
Micromirror Lithography David Chen EECS 277. Overview What is Lithography? What are Micromirrors? Successful Research Future.
Chris A. Mack, Fundamental Principles of Optical Lithography, (c) Design Mask Aerial Image Latent Image Developed Resist Image Image in Resist PEB.
CHAPTER 9: PHOTOLITHOGRAPHY.
SU-8 And Its Features Anne Samuel.
Metamaterials Zaven Kalfayan Lindsay Hunting Phyllis Xu Joy Perkinson.
ECE/ChE 4752: Microelectronics Processing Laboratory
John D. Williams, Wanjun Wang Dept. of Mechanical Engineering Louisiana State University 2508 CEBA Baton Rouge, LA Producing Ultra High Aspect Ratio.
Effect of Resist Thickness
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #6.
Vicki Bourget & Vinson Gee April 23, 2014
Double Exposure/Patterning Lithography Hongki Kang EE235 Mar
Physical Layout Design of Directed Self- Assembly Guiding Alphabet for IC Contact Hole/Via Patterning H.-S. Philip Wong, Linda He Yi, Maryann C. Tung,
EE143 – Ali JaveySlide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader.
Directed Assembly of Block Copolymer Blends into Nonregular Device-Oriented Structures Mark P. Stoykovich,1 Marcus Mu¨ller,2 Sang Ouk Kim,3 Harun H. Solak,4.
1 Microelectronics Processing Course - J. Salzman – Fall 2006 Microelectronics Processing Lithography.
Photopolymers and Photoresists for Electronic
The Deposition Process
Lecture 10.0 Photoresists/Coating/Lithography. Semiconductor Fab Land$0.05 Billion Building$0.15 Billion Tools & Equipment $1 Billion Air/Gas Handling.
1 ME 381R Fall 2003 Micro-Nano Scale Thermal-Fluid Science and Technology Lecture 18: Introduction to MEMS Dr. Li Shi Department of Mechanical Engineering.
NANOSCALE LITHOGRAPHY MICHAEL JOHNSTON 4/13/2015.
Lithographic Processes
Top-down approach for formation of nanostructures: Lithography with light, electrons and ions Seminar Nanostrukturierte Festkörper, Martin Hulman.
Overview of course Capabilities of photonic crystals Applications MW 3:10 - 4:25 PMFeatheringill 300 Professor Sharon Weiss.
Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Rochester Institute of Technology Microelectronic.
NANOMETER SCALE LITHOGRAPHY DANIEL BERNARD – BENJAMEN STROBELAPRIL 29, 2013 EE 4611 – STANLEY G. BURNS NANOMETER SCALE LITHOGRAPHY, ALSO KNOWN AS NANOLITHOGRAPHY,
Page 1 NSF STC Polymers Used in Microelectronics and MEMs An Introduction to Lithography.
II-Lithography Fall 2013 Prof. Marc Madou MSTB 120
Lithography in the Top Down Method New Concepts Lithography In the Top-Down Process New Concepts Learning Objectives –To identify issues in current photolithography.
Surface Morphology Diagram for Cylinder-Forming Block Copolymer Thin Films Xiaohua Zhang Center for Soft Condensed Matter Physics and Interdisciplinary.
Center for Materials for Information Technology an NSF Materials Science and Engineering Center Nanolithography Lecture 15 G.J. Mankey
Three Dimensional Photonic Crystals Corey Ulmer. Outline What are Photonic Crystals/Why Important? How They Work Manufacturing Challenges Manufacturing.
1 CHM 585/490 Chapter 19 Semiconductors. 2 The market for imaging chemicals – photoresists, developers, strippers, and etchants – for the combined semiconductor.
NANO 101 Introduction to Nanotechnology
LITHOGRAPHY IN THE TOP-DOWN PROCESS - NEW CONCEPTS
Polymer Synthesis CHEM 421 Semiconductor Manufacturing.
EUVL
1 Thin Resist film Processing issues Ioannis Raptis Patterning Group Institute of Microelectronics National Center for Scientific Reasearch ‘Demokritos’
Center for Materials for Information Technology an NSF Materials Science and Engineering Center Optical Lithography Lecture 13 G.J. Mankey
ISAT 436 Micro-/Nanofabrication and Applications Photolithography David J. Lawrence Spring 2004.
Lithography in the Top Down Method New Concepts Lithography In the Top-Down Process New Concepts Learning Objectives –To identify issues in current photolithography.
SU-8 is a polymer EPON SU-8
Lithography and Electrodeposition
LITHOGRAPHY IN THE TOP-DOWN PROCESS - BASICS
1 12&13/05/2005 Review Meeting More Moore_SP3-WP CONSORTIUM CONFIDENTIAL LTM contribution to SP3-WP6 Two tasks: 1.Thermal characterization of ultra thin.
Electron scattering in resist and substrate Proximity effect Resist interactions (positive /negative/chemically amplified resists, resist contrast) Dose.
Section 2: Lithography Jaeger Chapter 2 EE143 – Ali Javey.
C Virginia Tech Modulation Transfer Function.
Lithography. Lithography in the MEMS context is typically the transfer of a pattern to a photosensitive material by selective exposure to a radiation.
Date of download: 5/30/2016 Copyright © 2016 SPIE. All rights reserved. Working principle of the immersion schemes: (a) focusing in air, (b) focusing through.
NANOSCALE LITHOGRAPHY, TECHNIQUES AND TECHNOLOGY EE 4611 DEHUA LIU 4/8/2016.
NANO-Lithography Name : DEKONG ZENG EE235 Spring 2007
Photolytic Polymerization
IRG-2: Glass Transition of Irreversibly Adsorbed Nanolayers (DMR ) Rodney Priestley, Richard Register, Princeton University Thin polymer films.
Ring Opening Metathesis Polymerization for 157 nm Photoresists
Lithography.
Evanescent Wave Imaging Using Optical Lithography
UV-Curved Nano Imprint Lithography
BY SURAJ MENON S7,EEE,61.
Fabrication of Nano-porous Templates Using Molecular Self-Assembly of Block Copolymers for the Synthesis of Nanostructures Luke Soule, Jason Tresback Center.
Chemical Engineering for Micro/Nano Fabrication
LITHOGRAPHY Lithography is the process of imprinting a geometric pattern from a mask onto a thin layer of material called a resist which is a radiation.
Photoresists/Coating/Lithography
Chemical Engineering for Micro/Nano Fabrication
Photolithography.
Presentation transcript:

Polymer Synthesis CHEM 421 “Organic imaging materials: a view of the future” J. Phys. Org. Chem. 2000, 13, 767 “Advances in Patterning Materials for 193 nm Immersion Lithography” Chem. Rev. 2010, 110, 321–360 “Block Copolymer Nanolithography: Translation of Molecular Level Control to Nanoscale Patterns” Adv. Mater. 2009, 21, 4769–4792 “Tech Focus – Lithography” Nature Photonics 2010, 4, Science 2008, 322, 429 (Hawker, block copolymer lithography) nphoton (EUV) More Reading Materials

Polymer Synthesis CHEM 421 Semiconductor Manufacturing

Polymer Synthesis CHEM 421 Photolithographic Process J. Phys. Org. Chem. 2000, 13, 767. Coat Exposure Develop Strip Etch Photoresist Substrate Mask h Positive Negative

Polymer Synthesis CHEM 421 Model for Constructing a Chemically Amplified Resist Levinson, Harry J. Principles of Lithography. SPIE Press, Etch Barrier Backbone Protecting Group Acidic Group

Polymer Synthesis CHEM 421 Low- and High-Activation Energy Chemically Amplified Resists Copolymer of hydroxy styrene and t-BOC protected hydroxy styrene Good hydrophilic/hydrophobic balance IBM’s Apex Resist Low activation energy, very reactive PAB below Tg IBM’s ESCAP Resist High activation energy, lower reactivity Allows for high T bake PAB above Tg removes stress removes residual solvent higher density films Low diffusion of PAG

Polymer Synthesis CHEM 421 “Transitions” in Optical Lithography 365 nm 248 nm 193 nm 157 nm E-beam X-ray EUV ?

Polymer Synthesis CHEM 421 How? R: resolution or critical dimension k1: Rayleigh coefficient of resolution Λ0: vacuum wavelength n: refractive index of the incident medium θ: angular aperture of the lens n · sin θ is also referred to as the numerical aperture (NA) of the imaging system.

Polymer Synthesis CHEM 421 Polymer Absorbtion Coefficient (157 nm) Thickness (nm) (OD = 0.4) Poly(hydrosilsesquioxane) Poly(tetrafluoroethylene) Poly(tetrafluoroethylene-co- ethylene) (30% TFE) Poly(dimethylsiloxane) Poly(vinyl alcohol) Poly(methyl methacrylate) Poly(norbornene) Polystyrene Poly(p-hydroxystyrene) Poly(p-chlorostyrene) R. R. Kunz et.al. J. Vac. Sci. Technol. B 17(6), Nov/Dec 1999 Polymeric Materials Outlook for 157 nm Resist Design

Polymer Synthesis CHEM 421 Emerging 157 nm Resist Platforms A. E. Feiring and J. Feldman, DuPont WO 00/ SO 2 OH CF 3 H. Ito, G. Walraff, et. al. IBM CH 2 C C OCH 3 O CF 3 G. Willson, UT R. Dammel, Clariant C. Ober, Cornell 157 nm 1.4 micron nm 3.1 micron nm 2.7 micron nm 2.8 micron nm 2.5 micron -1

Polymer Synthesis CHEM 421 Poly(TFE-co-NB-co-EVE) Lowers Absorbance Increases CO 2 Solubility Increases Etch Resistance Increases T g Provides Contrast Lowers Absorbance Increases CO 2 Solubility EVE is Ester Vinyl Ether

Polymer Synthesis CHEM 421 Poly(TFE-co-NB-co-EVE) TFE (mol %) NB (mol %) FG (mol %) T g (°C) Mn / MWD Liq. CO 2 Sol. 157 nm ?? 3300 / 1.47 Insol.Insol / 1.32 Insol / 1.42 Insol. To be determined

Polymer Synthesis CHEM /193 nm Photoresists Teflon® AF as Backbone Material Due to its amorphous structure and rigid backbone, Teflon® AF has unique properties that are desirable in a photoresist backboneDue to its amorphous structure and rigid backbone, Teflon® AF has unique properties that are desirable in a photoresist backbone AdvantagesChallenges very low absorbance cost of PDD monomer rigid structure (good etch resistance) need functional monomer without significantly increasing absorbance forms smooth films broad range of T g s available Tetrafluoroethylene (TFE) 2,2-Bis(trifluoromethyl)-4,5- difluoro-1,3-dioxole (PDD) Teflon® AF

Polymer Synthesis CHEM /193 nm Photoresists Absorbance at 157 nm and 193 nm Values for Teflon® AF and the CO2 synthesized copolymer are very close and well below 1  m -1 at 157 nmValues for Teflon® AF and the CO2 synthesized copolymer are very close and well below 1  m -1 at 157 nm Values at 193 nm are slightly different but both extremely lowValues at 193 nm are slightly different but both extremely low Sample (nm) 193 (nm) Teflon® AF CO 2 Synthesized Copolymer Absorbance [    m  

Polymer Synthesis CHEM /193 nm Photoresists Teflon® AF as Backbone Material Protected Functional Monomer Acidic Group PAG In order for a Teflon® AF derivative to serve as a photoresist, a functionalized monomer that can be cleaved by an acid must be incorporated into the backboneIn order for a Teflon® AF derivative to serve as a photoresist, a functionalized monomer that can be cleaved by an acid must be incorporated into the backbone After cleaving with a photo acid generator (PAG) the functional monomer will exhibit different solubility properties from unexposed regionsAfter cleaving with a photo acid generator (PAG) the functional monomer will exhibit different solubility properties from unexposed regions

Polymer Synthesis CHEM /193 nm Photoresists EVE/PDD/TFE Plackett-Burman Experiment Scheme Chose to explore Ester Vinyl Ether (EVE) as a prototype for potential EVE derived functional monomersChose to explore Ester Vinyl Ether (EVE) as a prototype for potential EVE derived functional monomers Conducted a Plackett-Burman experimental scheme varying five parameters (composition, initiator concentration, temperature, pressure and reaction time) to study the reaction of EVE with PDD and TFEConducted a Plackett-Burman experimental scheme varying five parameters (composition, initiator concentration, temperature, pressure and reaction time) to study the reaction of EVE with PDD and TFE Exp. #EVE/PDD/TFE (mol %) Initiator (mol %) Temp ( o C)Pressure (psi) Rxn Time (hr) 17/73/ /55/ /55/ /55/ /73/ /73/ /55/ /73/ poly(TFE-co-PDD-co-EVE)

Polymer Synthesis CHEM /193 nm Photoresists EVE/TFE/PDD - Absorbance Absorbance values at 157 nm increase with increasing EVE content but still remain well below 1  m  Absorbance values at 157 nm increase with increasing EVE content but still remain well below 1  m   Values at 193 nm are very low and vary only slightlyValues at 193 nm are very low and vary only slightly Sample Composition (mol %) (nm) 193 (nm) REH-004 7/73/20 EVE/PDD/TFE (Charged) REH /59/29 EVE/PDD/TFE REH /54/28 EVE/PDD/TFE VASE® Absorbance [    m   Measurements

Polymer Synthesis CHEM /193 nm Photoresists Absorbance at 157 nm and 193 nm Values for Teflon® AF and the CO2 synthesized copolymer are very close and well below 1  m -1 at 157 nm Values at 193 nm are slightly different but both extremely low Sample (nm) 193 (nm) Teflon® AF CO 2 Synthesized Copolymer Absorbance [    m  

Polymer Synthesis CHEM /193 nm Photoresists Teflon® AF as Backbone Material Protected Functional Monomer Acidic Group PAG In order for a Teflon® AF derivative to serve as a photoresist, a functionalized monomer that can be cleaved by an acid must be incorporated into the backbone After cleaving with a photo acid generator (PAG) the functional monomer will exhibit different solubility properties from unexposed regions

Polymer Synthesis CHEM /193 nm Photoresists EVE/PDD/TFE Plackett-Burman Experiment Scheme Chose to explore Ester Vinyl Ether (EVE) as a prototype for potential EVE derived functional monomers Conducted a Plackett-Burman experimental scheme varying five parameters (composition, initiator concentration, temperature, pressure and reaction time) to study the reaction of EVE with PDD and TFE Exp. #EVE/PDD/TFE (mol %) Initiator (mol %) Temp ( o C)Pressure (psi) Rxn Time (hr) 17/73/ /55/ /55/ /55/ /73/ /73/ /55/ /73/ poly(TFE-co-PDD-co-EVE)

Polymer Synthesis CHEM /193 nm Photoresists EVE/TFE/PDD - Absorbance Absorbance values at 157 nm increase with increasing EVE content but still remain well below 1  m   Values at 193 nm are very low and vary only slightly Sample Composition (mol %) (nm) 193 (nm) REH-004 7/73/20 EVE/PDD/TFE (Charged) REH /59/29 EVE/PDD/TFE REH /54/28 EVE/PDD/TFE VASE® Absorbance [    m   Measurements

Polymer Synthesis CHEM 421 “Transitions” in Optical Lithography 365 nm 248 nm 193 nm 157 nm E-beam X-ray EUV ?

Polymer Synthesis CHEM 421 Immersion: Win of 193 nm over 157 nm

Polymer Synthesis CHEM 421

Polymer Synthesis CHEM 421

Polymer Synthesis CHEM 421 EUV: 13.5 nm wavelength 38 nm: feature size can be resolved by most advanced 193 nm immersion lithography systems < 10 nm: by EUV

Polymer Synthesis CHEM 421 Technically Very Challenging!

Polymer Synthesis CHEM 421 Block Copolymer Lithography a thin film of PS-b-PMMA BCP thermally annealed to produce the PMMA cylindrical microdomains oriented normal to the surface. Exposed to UV radiation i.PMMA block is degraded ii.PS matrix is cross linked. removing the decomposition products with acetic acid nanoporous crosslinked PS templates are produced

Polymer Synthesis CHEM 421 Cross-linking units

Polymer Synthesis CHEM 421 Tri-block

Polymer Synthesis CHEM 421 E-Beam Lithography

Polymer Synthesis CHEM 421 Nanoimprint Lithography (NIL)