Janusz Rajski Nilanjan Mukherjee Mentor Graphics Corporation Janusz Rajski Nilanjan Mukherjee Mentor Graphics Corporation.

Slides:



Advertisements
Similar presentations
18 July 2001 Work In Progress – Not for Publication 2001 ITRS Test Chapter ITRS Test ITWG Mike Rodgers Don Edenfeld.
Advertisements

Digital Integrated Circuits© Prentice Hall 1995 Design Methodologies Design for Test.
An International Technology Roadmap for Semiconductors
At-Speed Test Considering Deep Submicron Effects
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 31/22alt1 Lecture 31 System Test (Lecture 22alt in the Alternative Sequence) n Definition n Functional.
Advanced ITC Presentation A. Pogiel J. Rajski J. Tyszer.
Copyright 2001, Agrawal & BushnellLecture 12: DFT and Scan1 VLSI Testing Lecture 10: DFT and Scan n Definitions n Ad-hoc methods n Scan design  Design.
Apr. 20, 2001VLSI Test: Bushnell-Agrawal/Lecture 311 Lecture 31 System Test n Definition n Functional test n Diagnostic test  Fault dictionary  Diagnostic.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 261 Lecture 26 Logic BIST Architectures n Motivation n Built-in Logic Block Observer (BILBO) n Test.
Slides based on Kewal Saluja
March 23, 2001VLSI Test: Bushnell-Agrawal/Lecture 211 Lecture 21 I DDQ Current Testing n Definition n Faults detected by I DDQ tests n Vector generation.
Copyright 2001, Agrawal & BushnellDay-1 AM-3 Lecture 31 Testing Analog & Digital Products Lecture 3: Fault Modeling n Why model faults? n Some real defects.
Copyright 2005, Agrawal & BushnellVLSI Test: Lecture 19alt1 Lecture 19alt I DDQ Testing (Alternative for Lectures 21 and 22) n Definition n Faults detected.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 211 Lecture 21 I DDQ Current Testing n Definition n Faults detected by I DDQ tests n Vector generation.
Copyright 2001, Agrawal & BushnellDay-1 AM Lecture 11 Design for Testability Theory and Practice January 15 – 17, 2005 Vishwani D. Agrawal James J. Danaher.
Spring 08, Jan 15 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Embedded Hardware and Software Self-Testing Methodologies for Processor Cores Li Chen, Sujit Dey, Pablo Sanchez, Krishna Sekar, and Ying Chen Design Automation.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 11 Lecture 1 Introduction n VLSI realization process n Verification and test n Ideal and real tests.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Lecture 5 Fault Modeling
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 51 Lecture 5 Fault Modeling n Why model faults? n Some real defects in VLSI and PCB n Common fault.
HIGH-SPEED VLSI TESTING WITH SLOW TEST EQUIPMENT Vishwani D. Agrawal Agere Systems Processor Architectures and Compilers Research Murray Hill, NJ
Laboratory of Reliable Computing Department of Electrical Engineering National Tsing Hua University Hsinchu, Taiwan Delay Defect Characteristics and Testing.
Copyright 2001, Agrawal & BushnellDay-1 AM-1 Lecture 11 Testing Analog & Digital Products Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical.
BIST vs. ATPG.
Class Design Project - Test Generation 1 Class Design Project Test Generation Hillary Grimes III ELEC Project Presentation April 26, 2007.
DFT Technologies for High- Quality Low-Cost Manufacturing Tests Yuval Snir JTAG 2006 Yuval Snir JTAG 2006.
EE141 © Digital Integrated Circuits 2nd Introduction 1 The First Computer.
Interconnection and Packaging in IBM Blue Gene/L Yi Zhu Feb 12, 2007.
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Fault Modeling.
BS Test & Measurement Technique for Modern Semi-con devices & PCBAs.
Presenter: Hong-Wei Zhuang On-Chip SOC Test Platform Design Based on IEEE 1500 Standard Very Large Scale Integration (VLSI) Systems, IEEE Transactions.
ATPG - test pattern generation process 1. Target faults 2. Generate test cube: 1-5% 3. Random fill: 99-95% 4. Stimuli on ATE 5. Response on ATE.
© 2008 Cisco Systems, Inc. All rights reserved.Cisco ConfidentialPaper #15 1 Hongshin Jun, Bill Eklow 9/15/2010 BTW10, Fort Collins, CO PCC - Programmable.
1 ITRS Design TWG Test Column Draft 1 Feb. 4, 2001.
Testing of integrated circuits and design for testability J. Christiansen CERN - EP/MIC
VLSI & ECAD LAB Introduction.
Fault models Stuck-at Stuck-at-1 Reset coupling 0 0 Set coupling Inversion coupling Transition  /0 0 1 Transition  /1 1.
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
Testing of Digital Systems: An Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Dr. Aiman.
Logic BIST Logic BIST.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
TAMES2-Workshop R&D for Embedded Analogue Testing Diego Vázquez García de la Vega Instituto de Microelectrónica de Sevilla (IMSE-CNM)
Test and Test Equipment Joshua Lottich CMPE /23/05.
1 Compacting Test Vector Sets via Strategic Use of Implications Kundan Nepal Electrical Engineering Bucknell University Lewisburg, PA Nuno Alves, Jennifer.
By Praveen Venkataramani
Fault Models, Fault Simulation and Test Generation Vishwani D. Agrawal Department of ECE, Auburn University Auburn, AL 36849, USA
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
CHAPTER 8 Developing Hard Macros The topics are: Overview Hard macro design issues Hard macro design process Physical design for hard macros Block integration.
Present – Past -- Future
Distributed Computation: Circuit Simulation CK Cheng UC San Diego
EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University
Transistor Counts 1,000, ,000 10,000 1, i386 i486 Pentium ® Pentium ® Pro K 1 Billion Transistors.
EE141 © Digital Integrated Circuits 2nd Introduction 1 Principle of CMOS VLSI Design Introduction Adapted from Digital Integrated, Copyright 2003 Prentice.
Jan. 26, 2001VLSI Test: Bushnell-Agrawal/Lecture 51 Lecture 5 Fault Modeling n Why model faults? n Some real defects in VLSI and PCB n Common fault models.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 51 Lecture 5 Fault Modeling n Why model faults? n Some real defects in VLSI and PCB n Common fault.
ELEC 7770 Advanced VLSI Design Spring 2016 Introduction
Hayri Uğur UYANIK Very Large Scale Integration II - VLSI II
COUPING WITH THE INTERCONNECT
Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)
ELEC 7770 Advanced VLSI Design Spring 2014 Introduction
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
ELEC 7770 Advanced VLSI Design Spring 2012 Introduction
ELEC 7770 Advanced VLSI Design Spring 2010 Introduction
VLSI Testing Lecture 3: Fault Modeling
Lecture 26 Logic BIST Architectures
VLSI Testing Lecture 13: DFT and Scan
Test Data Compression for Scan-Based Testing
Presentation transcript:

Janusz Rajski Nilanjan Mukherjee Mentor Graphics Corporation Janusz Rajski Nilanjan Mukherjee Mentor Graphics Corporation

Presenters:Janusz Rajski Nilanjan Mukherjee Mentor Graphics Corporation Co-author: Jerzy TyszerPoznan Univ. of Technology Presenters:Janusz Rajski Nilanjan Mukherjee Mentor Graphics Corporation Co-author: Jerzy TyszerPoznan Univ. of Technology Presenters and authors

Tutorial ground rules  Definition: Embedded Test refers to design-for- testability techniques where testing is accomplished entirely or partially through on-chip hardware.  Disclaimer: This tutorial is not intended to endorse or discredit any commercial technology or product.

Audience   Designers of complex integrated circuits   IP core providers and integrators   Test engineers   EDA tools developers   EDA tools users   Researchers   Project managers   Designers of complex integrated circuits   IP core providers and integrators   Test engineers   EDA tools developers   EDA tools users   Researchers   Project managers Everybody interested in state-of-the-art embedded test technology, to reduce the cost of manufacturing test In particular: Everybody interested in state-of-the-art embedded test technology, to reduce the cost of manufacturing test In particular:

Tutorial objectives To present:  Compelling reasons for ET adoption  Common barriers for ET adoption  State-of-the-art ET fundamentals and practice  Architectures for logic and memory BIST  Embedded deterministic techniques  At-speed ET multiple-clock domain designs multi-frequency designs  Tools for BIST synthesis automation  Application examples and case studies

Outline  Introduction  Embedded stimuli generators  Compactors of test responses  Logic BIST  Deterministic forms of embedded test  Embedded at-speed test  Comparison of scan/ATPG, logic BIST and embedded forms of deterministic test  BIST schemes for embedded memory arrays  Summary of embedded test

Design characteristics CPU core MemoryASICASIC ASIC PLL IP core DSP core Memory IP core Memory Memory Memory ASIC Analog I / 0

System on Chip characteristics CPU core Memory ASIC ASIC ASIC PLL IP core DSP core Memory IP core Memor y Memory Memory ASIC Analog I / 0  System architecture  Microprocessors, DSP cores  Buses, peripherals, memory  ASIC portion  Structures: Logic, memory, analog  Multiple embedded memories: DRAM, Flash, CAM  Analog and mixed signal: PLLs, clock recovery  Field programmable logic  RF cores: wireless receivers  IP cores and reusable blocks available from multiple vendors  Design efficiency achieved by hierarchical core-based design style

New defects  Geometries shrink at 30% every three years  Defect sizes do not shrink in proportion  Increase of wiring levels from 6 to 9  Interconnect delays dominate  Gate delays reduced  Bridging faults

[Sematech, 1998] Sematech S-121 “Test Method Evaluation –Key Findings & Conclusions” Objective:  Evaluate various test methodologies Large sample size Extensive data collection & analysis

Sematech S-121  Device 116K equivalent gates  0.45 µm L effective (0.8 µm drawn)  50 MHz operating speed  249 signal I/Os  3 metal levels  Full LSSD Scan plus JTAG boundary scan 8 Chains, 5,280 master/slave LSSD latches (10,560 total latches)  Sample size 20,000 units  Test methods: Stuck-at faults, Functional tests, Transition delay faults & IDDQ

Sematech S-121 SAF % coverage (8300 patterns) FUNC - 52% SAF coverage (532K cycles) IDDQ - >96% pseudo SAF coverage (195 patterns) Delay - 90% Transition coverage (15232 patterns) IDDQ 1463 FUNC SAF Delay FUNC IDDQ 1   Package test results (pre Burn-in)

S-121 Conclusions  All test methods detected unique defects  Near 100% SAF coverage missed many defects  Large defect coverage overlap between SAF & Delay SAF are a subset of Transition faults  IDDQ threshold setting significantly affects yield 98% of the IDDQ fails survived burn-in  Many (bridging) defects detected only by IDDQ But diminishing IDDQ effectiveness in DSM  Some Functional tests are still required  Opportunity to optimize test coverage levels & capital

BridgeM1-2 Bridge M2 Bridge M4 Break trans Bridge Poly M2 Bridge M3 Bridge M1-3 Bridge poly M1 Bridge M3-4 Open Poly Open Contact Bridge M1 Unknown Br Break M3 Bridge Poly M2 Break M2 Bridge M3-4 Break M1 Bridge Poly M4 Bridge Poly Unknown Via break Defect Pareto 350 nm Al 4-5 Levels Oxide Dielectric W Plugs 350 nm Process 5 million Transistors A Transistor Process Shrinks vs. Defect Types

 Defect distribution change with process 100 nm Process million transistors A Transistor Cu (8 Levels) Low-K Dielectric Cu Plugs Unknown Defect Pareto 100 nm ? Process Shrinks vs. Defect Types

Defects vs. Fault Coverage [M. Rodgers, et. al. DAC 2000] K-Ohms.18 um.25 um Test chip FA results Increasing defect populations causing more V DD, Temp, & freq sensitive device fails Bridge Defect Observed Resistance  Wired “AND” & “OR” models are not sufficient  Speed limiting defects  Frequency of bridging defects is increasing  Need to drive ATE & modeling requirements from the defects to be detected  Will drive need for more scan vectors

Quality requirements Y 1 - Y p 1 - p shipment Faultsdetected Escapes

Quality requirements p Yield = 0.1 Yield = 0.9 Escapes = (1 - Y)(1 - p)

Fault models  Stuck-at-0 and stuck-at-1   Transitions   Path delay   Multiple detects VDD

Very high test quality  Very high fault coverage  Wide range of fault models stuck-at transition path delay at-speed testing multiple detects bridging defect based cross-talk effects... fading IDDQ Coverage Escapes

High-performance MPU/ASIC gate count ITRS Roadmap 2001 Gate count

Scan chains  The pattern count for transition faults may reach 20,000

Scan test ATE Scan input channels Primary outputs Scan output channels Primary inputs

ATE cost Tester cost = b +  m p b - base cost (zero pins) m - incremental cost per pin p - number of pins High performance ASIC / MPU DFT tester Low performance Microcontroller b [ K$ ] m [ $ ] p Test cost can be $0.05/second

Volume of scan test data Test cycles =  Patterns Scan cells Scan chains...

Scan test time Test time = Scan cells Scan chains... FrequencyPatterns

Scan test cost Shift frequency 20 MHz Gate count 10M Scan chains 32 Padding ratio 1.4 Scan patterns 20K Vector memory 64MV Reload penalty 2s Insertions4 Tester rate 0.05$ Scan cells 500,000 Cells per scan 15,625 Longest scan chain 21,875 Cycles437.5M Scan test time 21.9s Passes6 Reload time 12.0s Time pre device 87.5s Cost per device 4.4$ More

High-performance MPU/ASIC 32 channels 20,000 patterns Required ATE memory Gigabits/channel

High-performance MPU/ASIC 100 MHz scan shift Scan test time seconds

ATE accuracy vs. device speed  Tester accuracy will improve from 200 ps to 175 ps by 2012  Clock period will decrease to 330 ps  Margin of error for ATE approaches 50% clock period Device period ATE accuracy Accuracyrequired

Requirements for Embedded Test  Increasing device complexity, operating speed, and new fault models stress conventional scan based test: Exploding volume of test data Exploding volume of test data Increasing scan test time, and Increasing scan test time, and Escalating scan test cost Escalating scan test cost  Embedded Test is required to: Generate most of the test data on-chip Generate most of the test data on-chip Compacting test responses on-chip, and Compacting test responses on-chip, and Providing on-chip control for at-speed test Providing on-chip control for at-speed test

Very low cost  Dramatically reduced volume of test data (10-100X)  Dramatically reduced scan test time (10-400X) ATE Memory [Mvectors] 10X 10X Scan test time[s] 2M gates Scan/ATPG 16 scan chains 5k vectors 2s handler/index time 1 test 10MHz scan shift

Long term scalability 100X increase in 10 years! Volume in conventional DFT years

Radical compression is required!  Immediate 5-10X compression  Compression ahead of volume for 10 years Volume in conventional DFT Compression factor years

Radical compression is required Compression should be ahead of Moore’s law for 10 years! Volume in conventional DFT Compression factor Compressed volume years