Register-Transfer Level (RTL) Design The combination of a controller and datapath is known as a processor. The most common method of designing a processor.

Slides:



Advertisements
Similar presentations
IO Interfaces and Bus Standards. Interface circuits Consists of the cktry required to connect an i/o device to a computer. On one side we have data bus.
Advertisements

Machine cycle.
//HDL Example 8-2 // //RTL description of design example (Fig.8-9) module Example_RTL (S,CLK,Clr,E,F,A);
CPE 201 Digital Design Lecture 25: Register Transfer Level Design (2)
Register Transfer Level
1 RTL Example: Video Compression – Sum of Absolute Differences Video is a series of frames (e.g., 30 per second) Most frames similar to previous frame.
Multicycle Datapath & Control Andreas Klappenecker CPSC321 Computer Architecture.
TOPIC : Finite State Machine(FSM) and Flow Tables UNIT 1 : Modeling Module 1.4 : Modeling Sequential circuits.
Give qualifications of instructors: DAP
8085 processor. Bus system in microprocessor.
$100 $200 $300 $400 $500 $100 $200 $300 $400 $500 $100 $200 $300 $400 $500 $100 $200 $300 $400 $500 $100 $200 $300 $400 $500 $100 $200 $300.
Modern VLSI Design 2e: Chapter 8 Copyright  1998 Prentice Hall PTR Topics n High-level synthesis. n Architectures for low power. n Testability and architecture.
CS 151 Digital Systems Design Lecture 37 Register Transfer Level
Review and Overview. Review  Combinational logic circuit – Decoder, Encoder, Multiplexer, De-multiplexer, Full Adder, Multiplier  Sequential logic circuit.
CS-447– Computer Architecture Lecture 12 Multiple Cycle Datapath
Design at the Register Transfer Level
Sequential Logic Design Process A sequential circuit that controls Boolean outputs and a specific time- ordered behavior is called a controller. StepDescription.
Register-Transfer Level (RTL) Design
L14 – Control & Execution 1 Comp 411 – Fall /04/09 Control & Execution Finite State Machines for Control MIPS Execution.
Digital Design – Programmable Processors Chapter 8 - Programmable Processors.
1 Register-Transfer Level (RTL) Design Recall –Chapter 2: Combinational Logic Design First step: Capture behavior (using equation or truth table) Remaining.
Chapter 7 Design Implementation (II)
Basic Register A register is a sequential component that can store multiple bits.
RT-Level Custom Design. This Week in DIG II  Introduction  Combinational logic  Sequential logic  Custom single-purpose processor design  Review.
L15 – Control & Execution 1 Comp 411 – Spring /25/08 Control & Execution Finite State Machines for Control MIPS Execution.
The Multicycle Processor CPSC 321 Andreas Klappenecker.
Chapter 11: System Design Methodology Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley11-1 Ders 9: RTL Design.
Digital Design – Register-Transfer Level (RTL) Design Chapter 5 - Register-Transfer Level (RTL) Design.
Lecture 13 - Introduction to the Central Processing Unit (CPU)
FPGA-Based System Design: Chapter 5 Copyright  2004 Prentice Hall PTR Topics n Basics of sequential machines. n Sequential machine specification. n Sequential.
$100 $200 $300 $400 $500 $100 $200 $300 $400 $500 $100 $200 $300 $400 $500 $100 $200 $300 $400 $500 $100 $200 $300 $400 $500 $100 $200 $300.
Work Chapter 15 Section 4. Objectives Identify 6 simple machines Explain how the machine work Explain the difference between classes of levers Describe.
Lecture 16 Today’s topics: –MARIE Instruction Decoding and Control –Hardwired control –Micro-programmed control 1.
IKI Register-transfer Design
Computer Architecture Lecture 09 Fasih ur Rehman.
Procedures for managing workflow components Workflow components: A workflow can usually be described using formal or informal flow diagramming techniques,
Module : FSM Topic : types of FSM. Two types of FSM The instant of transition from the present to the next can be completely controlled by a clock; additionally,
TOPIC : Different levels of Fault model UNIT 2 : Fault Modeling Module 2.1 Modeling Physical fault to logical fault.
1/8/ Data Path Design & Control Copyright Joanne DeGroat, ECE, OSU1 Processor Data Paths - ALU and Registers Incorporating the ALU into a.
11/17/2007DSD,USIT,GGSIPU1 RTL Systems References: 1.Introduction to Digital System by Milos Ercegovac,Tomas Lang, Jaime H. Moreno; wiley publisher 2.Digital.
ECE 274 Digital Logic RTL Design using Verilog Verilog for Digital Design Ch. 5.
Algebra 1 Rules and Robots. Single machines PROCESSOR INPUT OUTPUT Imagine that we have a robot to help us make patterns
Register Transfer Languages (RTL)
ECE 2110: Introduction to Digital Systems Chapter 6 Combinational Logic Design Practices Multiplexers.
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
1 Basic Processor Architecture. 2 Building Blocks of Processor Systems CPU.
EEE2243 Digital System Design Chapter 7: RTL Design by Muhazam Mustapha, March 2011.
Digital Design 2e Copyright © 2010 Frank Vahid 1 RTL Design Process: Create a datapath Sub-steps –HLSM data inputs/outputs  Datapath inputs/outputs. –HLSM.
Interrupt, again! Lin Zhong ELEC424, Fall 2010.
George Mason University Finite State Machines Refresher ECE 545 Lecture 11.
CS161 – Design and Architecture of Computer Systems
Functions Section 5.1.
Control & Execution Finite State Machines for Control MIPS Execution.
2-Hardware Design Basics of Embedded Processors (cont.)
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
Control & Execution Finite State Machines for Control MIPS Execution.
Functional Units.
Manual Example How to manually convert high-level code into circuit
Processor Data Paths -ALU and Registers
ECE-C662 Introduction to Behavioral Synthesis Knapp Text Ch
ARM implementation the design is divided into a data path section that is described in register transfer level (RTL) notation control section that is viewed.
Gates Type AND denoted by X.Y OR denoted by X + Y NOR denoted by X + Y
Geographic TERMS and MOdels
Homing sequence: to identify the final state.
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL code ECE 448 – FPGA and ASIC Design.
Learning Outcome By the end of this chapter, students are expected to understand the principle of RTL design.
Group Members First Names
EXPLICIT RULES: INPUT-OUTPUT FORMULAS
ECE 352 Digital System Fundamentals
Presentation transcript:

Register-Transfer Level (RTL) Design The combination of a controller and datapath is known as a processor. The most common method of designing a processor is known as register-transfer level (RTL) design. A control-dominated design is a design whose controller possesses the heart of the design; A data-dominated design is a design whose datapath possesses the heart of the design. In the RTL design, a designer specifies the registers, describes the possible transfers and operations performed on input, output or register data, and defines the control that specifies when to transfer and operate on data. There are two general categories of RTL design:

Example 5.1: Laser-based distance measurer

Step 1 – Create a high-level state machine

Step 2 – Create a datapath

Step 3 – Connect the datapath to a controller

Step 4 – Derive the controller’s FSM

Example 5.4: Video compression – sum-of-absolute differences