ECE 331 – Digital System Design Single-bit Adder Circuits and Adder Circuits in VHDL (Lecture #12) The slides included herein were taken from the materials.

Slides:



Advertisements
Similar presentations
HDL Programming Fundamentals
Advertisements

1 Introduction to VHDL (Continued) EE19D. 2 Basic elements of a VHDL Model Package Declaration ENTITY (interface description) ARCHITECTURE (functionality)
LECTURE 4: The VHDL N-bit Adder
Introduction to VHDL (Lecture #5) ECE 331 – Digital System Design The slides included herein were taken from the materials accompanying Fundamentals of.
ECE 331 – Digital System Design
ECE 331 – Digital System Design Introduction to and Analysis of Sequential Logic Circuits (Lecture #20) The slides included herein were taken from the.
ECE 301 – Digital Electronics Minterm and Maxterm Expansions and Incompletely Specified Functions (Lecture #6) The slides included herein were taken from.
ECE 331 – Digital System Design
08/07/041 CSE-221 Digital Logic Design (DLD) Lecture-8:
ECE 301 – Digital Electronics
ECE 331 – Digital System Design
ECE 331 – Digital System Design Sequential Circuit Design (Lecture #23) The slides included herein were taken from the materials accompanying Fundamentals.
ECE 301 – Digital Electronics
ECE 331 – Digital System Design
CSET 4650 Field Programmable Logic Devices Dan Solarek VHDL Behavioral & Structural.
AND Gate: A Logic circuit whose output is logic ‘1’ if and only if all of its inputs are logic ‘1’.
(1) Programming Mechanics © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
IAY 0600 Digital Systems Design
Binary Addition CSC 103 September 17, 2007.
Digital Arithmetic and Arithmetic Circuits
Computer Architecture I: Digital Design Dr. Robert D. Kent Logic Design Medium Scale Integration and Programmable Logic Devices Part I.
Eng. Mohammed Timraz Electronics & Communication Engineer University of Palestine Faculty of Engineering and Urban planning Software Engineering Department.
ADDERS Half Adders Recall that the basic rules of binary addition are as indicated below in Table 2-9. A circuit known as the half-adder carries out these.
DSD,USIT,GGSIPU1 Entity declaration –describes the input/output ports of a module entity reg4 is port ( d0, d1, d2, d3, en, clk : in std_logic; q0, q1,
VHDL TUTORIAL Preetha Thulasiraman ECE 223 Winter 2007.
ENG6090 Reconfigurable Computing Systems Hardware Description Languages Part 6: Configurations.
Figure 5.1 Conversion from decimal to binary. Table 5.1 Numbers in different systems.
1 Adders & Subtractors Adders –An adder is a combinational logic circuit that performs the addition of 2 binary numbers (A & B) to generate the sum (S)
ENG6090 RCS1 ENG6090 Reconfigurable Computing Systems Hardware Description Languages Part 5: Modeling Structure.
Module 9.  Digital logic circuits can be categorized based on the nature of their inputs either: Combinational logic circuit It consists of logic gates.
CWRU EECS 317 EECS 317 Computer Design LECTURE 1: The VHDL Adder Instructor: Francis G. Wolff Case Western Reserve University.
Basic Overview of VHDL Matthew Murach Slides Available at:
1 component OR_3 port (A,B,C: in bit; Z: out bit); end component ; Reserved Words  Declarations of Components and Entities are similar  Components are.
ECE 331 – Digital System Design Single-bit Adder Circuits and Adder Circuits in VHDL (Lecture #11) The slides included herein were taken from the materials.
Number Systems and Circuits for Addition Lecture 5 Section 1.5 Thu, Jan 26, 2006.
ECE 331 – Digital System Design Multiplexers and Demultiplexers (Lecture #13)
(1) Basic Language Concepts © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
CEC 220 Digital Circuit Design More VHDL Fri, February 27 CEC 220 Digital Circuit Design Slide 1 of 15.
Digital System Projects
ECE 331 – Digital System Design Multi-bit Adder Circuits, Adder/Subtractor Circuit, and Multiplier Circuit (Lecture #12)
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
ECOM 4311—Digital System Design with VHDL
Number Systems and Circuits for Addition – Binary Adders Lecture 6 Section 1.5 Fri, Jan 26, 2007.
Digital Design Using VHDL and PLDs ECOM 4311 Digital System Design Chapter 1.
Apr. 3, 2000Systems Architecture I1 Introduction to VHDL (CS 570) Jeremy R. Johnson Wed. Nov. 8, 2000.
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
May 9, 2001Systems Architecture I1 Systems Architecture I (CS ) Lab 5: Introduction to VHDL Jeremy R. Johnson May 9, 2001.
ECE 331 – Digital System Design Basic Logic Operations, Boolean Expressions and Truth Tables, and Standard Logic Gates The slides included herein were.
Electrical Engineering Engineering the Future Digital Circuits Fundamentals Hands-on Full-Adder Simulation (afternoon)
Explain Half Adder and Full Adder with Truth Table.
ETE 204 – Digital Electronics Combinational Logic Design Single-bit and Multiple-bit Adder Circuits [Lecture: 9] Instructor: Sajib Roy Lecturer, ETE,ULAB.
IAY 0600 Digital Systems Design VHDL discussion Structural style Modular design and hierarchy Part 1 Alexander Sudnitson Tallinn University of Technology.
IAY 0600 Digital Systems Design
Elements of Structural Models
Structural style Modular design and hierarchy Part 1
Basic Language Concepts
Systems Architecture Lab: Introduction to VHDL
Structural style Modular design and hierarchy Part 1
Behavioral Style Combinational Design with VHDL
ENG6530 Reconfigurable Computing Systems
Hardware Descriptive Languages these notes are taken from Mano’s book
ECE 331 – Digital System Design
Structural style Modular design and hierarchy Part 1
IAY 0600 Digital Systems Design
ECE 301 – Digital Electronics
Hardware Descriptive Languages these notes are taken from Mano’s book
Chapter 5 – Number Representation and Arithmetic Circuits
ECE 331 – Digital System Design
Four Bit Adder Sum A Cin B Cout 10/9/2007 DSD,USIT,GGSIPU.
Digital Logic with VHDL
Presentation transcript:

ECE 331 – Digital System Design Single-bit Adder Circuits and Adder Circuits in VHDL (Lecture #12) The slides included herein were taken from the materials accompanying Fundamentals of Logic Design, 6 th Edition, by Roth and Kinney, and were used with permission from Cengage Learning.

Fall 2010ECE Digital System Design2 The Half Adder (HA)

Fall 2010ECE Digital System Design3 The Half Adder Sum Carry Sum

Fall 2010ECE Digital System Design4 The Half Adder ABSumCarry Truth Table

Fall 2010ECE Digital System Design5 The Half Adder Given the truth table on the previous slide, 1. Derive the Boolean expressions for the sum and carry. 2. Draw the corresponding circuit diagram.

Fall 2010ECE Digital System Design6 The Full Adder (FA)

Fall 2010ECE Digital System Design7 The Full Adder Carry-out Sum Carry-in

Fall 2010ECE Digital System Design8 The Full Adder ABC in SumC out Truth Table

Fall 2010ECE Digital System Design9 The Full Adder Given the truth table on the previous slide, 1. Derive the Boolean expressions for the sum and carry-out. 2. Draw the corresponding circuit diagram.

Fall 2010ECE Digital System Design10 The Full Adder Design the Full Adder hierarchically, using 2 Half Adders 1 OR gate

Fall 2010ECE Digital System Design11 VHDL

Fall 2010ECE Digital System Design12 Components Components permit hierarchical, or top-down, design.  Used in the structural VHDL model. Components, like functions in C, describe sub-circuits that can be used (and reused) in a top-level design. Specify the interface to the sub-circuit.  Component Declaration  Using the same syntax as the Entity statement Define the behavior of the sub-circuit  Component Definition  Using an Architecture statement

Fall 2010ECE Digital System Design13 Components The architecture statement for each component may be included in the same VHDL file as the top-level design, or in a separate file. If included in the same file, it is analogous to defining a function in the same file as the “main” in a C program. If included in a separate file, it must be compiled prior to the compilation of the top-level design.

Fall 2010ECE Digital System Design14 Components Component Declaration Component Instantiation COMPONENT PORT ( : modetype ) ; END COMPONENT ; : PORT MAP ( => ) ; : PORT MAP ( ) ; named association positional association

Fall 2010ECE Digital System Design15 Packages Packages (and libraries) allow frequently used components and functions to be “centrally” located. Component declarations are included in a package file, rather than in the upper-level design file. The corresponding component definitions are included in separate files.  The compiled component definitions are typically included in the same library as the package file. When the package file is compiled the package is created in the working directory.

Fall 2010ECE Digital System Design16 Packages Package Declaration Package Instantiation PACKAGE IS ; END ; LIBRARY work ; USE work..all ;

Fall 2010ECE Digital System Design17 Adder Circuits in VHDL

Fall 2010ECE Digital System Design18 The Half Adder in VHDL A B Sum Carry Half Adder Entity Architecture

Fall 2010ECE Digital System Design19 The Half Adder in VHDL (Behavioral Model – Boolean expressions)

Fall 2010ECE Digital System Design20 The Half Adder in VHDL (Behavioral Model – Truth Table)

Fall 2010ECE Digital System Design21 The Full Adder in VHDL Entity Architecture A B Sum Cout Full Adder Cin

Fall 2010ECE Digital System Design22 The Full Adder in VHDL (Behavioral Model – Boolean expressions)

Fall 2010ECE Digital System Design23 The Full Adder in VHDL (Structural Model) Half Adder (ha1) Half Adder (ha2) A B Cin Sum Cout input ports Entity output ports Architecture signals s1 c1 c2

Fall The Full Adder in VHDL (Structural Model)

Fall 2010ECE Digital System Design25 The Full Adder in VHDL LIBRARY ieee ; USE ieee.std_logic_1164.all ; PACKAGE halfadd_package IS COMPONENT half_adder PORT ( A, B: IN STD_LOGIC ; Sum, Cout: OUT STD_LOGIC ) ; END COMPONENT ; END halfadd_package ; (The Package File)

Fall 2010ECE Digital System Design26 The Full Adder in VHDL LIBRARY ieee ; USE ieee.std_logic_1164.all ; USE work.halfadd_package.all ; ENTITY full_adder IS PORT ( Cin, A, B : IN STD_LOGIC ; Sum, Cout : OUT STD_LOGIC ) ; END full_adder ; ARCHITECTURE Structure OF full_adder IS SIGNAL s1, c1, c2:STD_LOGIC ; BEGIN ha1 : half_adder PORT MAP ( A => A, B => B, Sum => s1, Cout => c1 ) ; ha2 : half_adder PORT MAP ( s1, Cin, Sum, c2 ); Cout <= c1 OR c2 ; END Structure ; (The Design File)

Fall 2010ECE Digital System Design27 Questions?