POLAR 2.0: An Effective Routability-Driven Placer Chris Chu Tao Lin.

Slides:



Advertisements
Similar presentations
THERMAL-AWARE BUS-DRIVEN FLOORPLANNING PO-HSUN WU & TSUNG-YI HO Department of Computer Science and Information Engineering, National Cheng Kung University.
Advertisements

MIP-based Detailed Placer for Mixed-size Circuits Shuai Li, Cheng-Kok Koh ECE, Purdue University {li263,
Optimization of Placement Solutions for Routability Wen-Hao Liu, Cheng-Kok Koh, and Yih-Lang Li DAC’13.
Yi-Lin Chuang1, Sangmin Kim2, Youngsoo Shin2, and Yao-Wen Chang National Taiwan University, Taiwan KAIST, Korea 2010 DAC.
Wen-Hao Liu1, Yih-Lang Li, and Cheng-Kok Koh Department of Computer Science, National Chiao-Tung University School of Electrical and Computer Engineering,
Natarajan Viswanathan Min Pan Chris Chu Iowa State University International Symposium on Physical Design April 6, 2005 FastPlace: An Analytical Placer.
Meng-Kai Hsu, Sheng Chou, Tzu-Hen Lin, and Yao-Wen Chang Electronics Engineering, National Taiwan University Routability Driven Analytical Placement for.
A Size Scaling Approach for Mixed-size Placement Kalliopi Tsota, Cheng-Kok Koh, Venkataramanan Balakrishnan School of Electrical and Computer Engineering.
Shuai Li and Cheng-Kok Koh School of Electrical and Computer Engineering, Purdue University West Lafayette, IN, Mixed Integer Programming Models.
Ripple: An Effective Routability-Driven Placer by Iterative Cell Movement Xu He, Tao Huang, Linfu Xiao, Haitong Tian, Guxin Cui and Evangeline F.Y. Young.
EXPLORING HIGH THROUGHPUT COMPUTING PARADIGM FOR GLOBAL ROUTING Yiding Han, Dean Michael Ancajas, Koushik Chakraborty, and Sanghamitra Roy Electrical and.
SimPL: An Effective Placement Algorithm Myung-Chul Kim, Dong-Jin Lee and Igor L. Markov Dept. of EECS, University of Michigan 1ICCAD 2010, Myung-Chul Kim,
1 Physical Hierarchy Generation with Routing Congestion Control Chin-Chih Chang *, Jason Cong *, Zhigang (David) Pan +, and Xin Yuan * * UCLA Computer.
Coupling-Aware Length-Ratio- Matching Routing for Capacitor Arrays in Analog Integrated Circuits Kuan-Hsien Ho, Hung-Chih Ou, Yao-Wen Chang and Hui-Fang.
FastPlace: Efficient Analytical Placement using Cell Shifting, Iterative Local Refinement and a Hybrid Net Model FastPlace: Efficient Analytical Placement.
A Clustering Utility Based Approach for S. Areibi, M. Thompson, A. Vannelli uoguelph.ca September 2001 School of Engineering ASIC Design 14th.
Ahmed Awad Atsushi Takahash Satoshi Tanakay Chikaaki Kodamay ICCAD’14
Congestion Driven Placement for VLSI Standard Cell Design Shawki Areibi and Zhen Yang School of Engineering, University of Guelph, Ontario, Canada December.
MCFRoute: A Detailed Router Based on Multi- Commodity Flow Method Xiaotao Jia, Yici Cai, Qiang Zhou, Gang Chen, Zhuoyuan Li, Zuowei Li.
Routability-Driven Blockage-Aware Macro Placement Yi-Fang Chen, Chau-Chin Huang, Chien-Hsiung Chiou, Yao-Wen Chang, Chang-Jen Wang.
38 th Design Automation Conference, Las Vegas, June 19, 2001 Creating and Exploiting Flexibility in Steiner Trees Elaheh Bozorgzadeh, Ryan Kastner, Majid.
Placement Feedback: A Concept and Method for Better Min-Cut Placements Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La.
Can Recursive Bisection Alone Produce Routable Placements? Andrew E. Caldwell Andrew B. Kahng Igor L. Markov Supported by Cadence.
Triple Patterning Aware Detailed Placement With Constrained Pattern Assignment Haitong Tian, Yuelin Du, Hongbo Zhang, Zigang Xiao, Martin D.F. Wong.
A Resource-level Parallel Approach for Global-routing-based Routing Congestion Estimation and a Method to Quantify Estimation Accuracy Wen-Hao Liu, Zhen-Yu.
Metal Layer Planning for Silicon Interposers with Consideration of Routability and Manufacturing Cost W. Liu, T. Chien and T. Wang Department of CS, NTHU,
Chih-Hung Lin, Kai-Cheng Wei VLSI CAD 2008
MGR: Multi-Level Global Router Yue Xu and Chris Chu Department of Electrical and Computer Engineering Iowa State University ICCAD
A Topology-based ECO Routing Methodology for Mask Cost Minimization Po-Hsun Wu, Shang-Ya Bai, and Tsung-Yi Ho Department of Computer Science and Information.
Area-I/O Flip-Chip Routing for Chip-Package Co-Design Progress Report 方家偉、張耀文、何冠賢 The Electronic Design Automation Laboratory Graduate Institute of Electronics.
CRISP: Congestion Reduction by Iterated Spreading during Placement Jarrod A. Roy†‡, Natarajan Viswanathan‡, Gi-Joon Nam‡, Charles J. Alpert‡ and Igor L.
TSV-Aware Analytical Placement for 3D IC Designs Meng-Kai Hsu, Yao-Wen Chang, and Valerity Balabanov GIEE and EE department of NTU DAC 2011.
Solving Hard Instances of FPGA Routing with a Congestion-Optimal Restrained-Norm Path Search Space Keith So School of Computer Science and Engineering.
1 Global Routing Method for 2-Layer Ball Grid Array Packages Yukiko Kubo*, Atsushi Takahashi** * The University of Kitakyushu ** Tokyo Institute of Technology.
Wen-Hao Liu 1, Yih-Lang Li 1, and Kai-Yuan Chao 2 1 Department of Computer Science, National Chiao-Tung University, Hsin-Chu, Taiwan 2 Intel Architecture.
March 20, 2007 ISPD An Effective Clustering Algorithm for Mixed-size Placement Jianhua Li, Laleh Behjat, and Jie Huang Jianhua Li, Laleh Behjat,
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5: Global Routing © KLMH Lienig 1 EECS 527 Paper Presentation High-Performance.
Archer: A History-Driven Global Routing Algorithm Mustafa Ozdal Intel Corporation Martin D. F. Wong Univ. of Illinois at Urbana-Champaign Mustafa Ozdal.
Seeing the Forest and the Trees: Steiner Wirelength Optimization in Placement Jarrod A. Roy, James F. Lu and Igor L. Markov University of Michigan Ann.
New Modeling Techniques for the Global Routing Problem Anthony Vannelli Department of Electrical and Computer Engineering University of Waterloo Waterloo,
A NEW ECO TECHNOLOGY FOR FUNCTIONAL CHANGES AND REMOVING TIMING VIOLATIONS Jui-Hung Hung, Yao-Kai Yeh,Yung-Sheng Tseng and Tsai-Ming Hsieh Dept. of Information.
Thermal-aware Steiner Routing for 3D Stacked ICs M. Pathak and S.K. Lim Georgia Institute of Technology ICCAD 07.
The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization Jia Wang, Shiyan Hu Department of Electrical and Computer Engineering.
Placement. Physical Design Cycle Partitioning Placement/ Floorplanning Placement/ Floorplanning Routing Break the circuit up into smaller segments Place.
Jason Cong‡†, Guojie Luo*†, Kalliopi Tsota‡, and Bingjun Xiao‡ ‡Computer Science Department, University of California, Los Angeles, USA *School of Electrical.
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 6: Detailed Routing © KLMH Lienig 1 What Makes a Design Difficult to Route Charles.
GLARE: Global and Local Wiring Aware Routability Evaluation Yaoguang Wei1, Cliff Sze, Natarajan Viswanathan, Zhuo Li, Charles J. Alpert, Lakshmi Reddy,
ARCHER:A HISTORY-DRIVEN GLOBAL ROUTING ALGORITHM Muhammet Mustafa Ozdal, Martin D. F. Wong ICCAD ’ 07.
Tao Lin Chris Chu TPL-Aware Displacement- driven Detailed Placement Refinement with Coloring Constraints ISPD ‘15.
Register Placement for High- Performance Circuits M. Chiang, T. Okamoto and T. Yoshimura Waseda University, Japan DATE 2009.
1 Efficient Obstacle-Avoiding Rectilinear Steiner Tree Construction Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang National Taiwan.
A SAT-Based Routing Algorithm for Cross-Referencing Biochips Ping-Hung Yuh 1, Cliff Chiung-Yu Lin 2, Tsung- Wei Huang 3, Tsung-Yi Ho 3, Chia-Lin Yang 4,
An Efficient Linear Time Triple Patterning Solver Haitong Tian Hongbo Zhang Zigang Xiao Martin D.F. Wong ASP-DAC’15.
An Effective Congestion Driven Placement Framework André Rohe University of Bonn, Germany joint work with Ulrich Brenner.
Chris Chu Iowa State University Yiu-Chung Wong Rio Design Automation
PARR:Pin Access Planning and Regular Routing for Self-Aligned Double Patterning XIAOQING XU BEI YU JHIH-RONG GAO CHE-LUN HSU DAVID Z. PAN DAC’15.
ILP-Based Inter-Die Routing for 3D ICs Chia-Jen Chang, Pao-Jen Huang, Tai-Chen Chen, and Chien-Nan Jimmy Liu Department of Electrical Engineering, National.
Routability-driven Floorplanning With Buffer Planning Chiu Wing Sham Evangeline F. Y. Young Department of Computer Science & Engineering The Chinese University.
BOB-Router: A New Buffering-Aware Global Router with Over-the-Block Routing Resources Yilin Zhang1, Salim Chowdhury2 and David Z. Pan1 1 Department of.
LEMAR: A Novel Length Matching Routing Algorithm for Analog and Mixed Signal Circuits H. Yao, Y. Cai and Q. Gao EDA Lab, Department of CS, Tsinghua University,
1 NTUplace: A Partitioning Based Placement Algorithm for Large-Scale Designs Tung-Chieh Chen 1, Tien-Chang Hsu 1, Zhe-Wei Jiang 1, and Yao-Wen Chang 1,2.
System in Package and Chip-Package-Board Co-Design
High-Performance Global Routing with Fast Overflow Reduction Huang-Yu Chen, Chin-Hsiung Hsu, and Yao-Wen Chang National Taiwan University Taiwan.
Design Automation Conference (DAC), June 6 th, Taming the Complexity of Coordinated Place and Route Jin Hu †, Myung-Chul Kim †† and Igor L. Markov.
Effective Linear Programming-Based Placement Techniques Sherief Reda UC San Diego Amit Chowdhary Intel Corporation.
1 Double-Patterning Aware DSA Template Guided Cut Redistribution for Advanced 1-D Gridded Designs Zhi-Wen Lin and Yao-Wen Chang National Taiwan University.
6/19/ VLSI Physical Design Automation Prof. David Pan Office: ACES Placement (3)
Placement and Routing Algorithms. 2 FPGA Placement & Routing.
HeAP: Heterogeneous Analytical Placement for FPGAs
2 University of California, Los Angeles
Presentation transcript:

POLAR 2.0: An Effective Routability-Driven Placer Chris Chu Tao Lin

outline INTRODUCTION OVERVIEW ROUTABILITY OPTIMIZATION EXPERIMENTAL RESULTS CONCLUSIONS

INTRODUCTION Placement is one of the most important and ancient problems in Electronic Design Automation (EDA). With the gradually increasing scale of design, a high quality while extremely fast placer is still in urgent need. Overemphasis of wirelength as in traditional placement formulation inevitably results in bad quality in other metrics such as power, timing and routability

INTRODUCTION The horizontal/vertical routing supply(H/V- supply) of G-Cell is defined as the total number of trunks in its associated H/V-edges. The horizontal/vertical routing demand (H/V-demand)of G-Cell is defined as the number of wires that goes through its associated H/V-edges.

INTRODUCTION Usually, better total wirelength means less total routing demand. However, excessively optimizing the wirelength would lead to routing congestion, since the cells which have lots of connections are pulled together resulting into that the local routing demand substantially exceeds the local routing supply.

INTRODUCTION A wirelength-driven placer without considering routability usually leads to irresolvable routing congestion problem. There are two challenges in routability-driven placement problem. 1. the routing congestion is expected to be detected accurately in short runtime. 2. the cells within routing congestion region should be spread out to balance the routing supply and routing demand.

INTRODUCTION The key ideas of this paper are highlighted as follows. Maintaining a good wirelengh-driven placement is attached very high importance in our routability-driven optimization flow, in order to minimize the routing demand. A novel routability-driven rough legalization is applied to distribute the routing demand, and the routing congestion regions are efectively spread out in a global manner. A history based cell inflation is adopted as a complement in a local manner. Different from some previous cell inflation techniques, the inflation amount is accumulated and kept until the global placement is finished.

INTRODUCTION The routability-driven placement relies on the traditional wirelength- driven placement engine. A circuit can be represented by a hypergraph G = (V,E), where V is the set of cells and E is the set of nets.

INTRODUCTION The objective of routability-driven placement is to minimize both half- perimeter wirelength (HPWL) and average congestion of G-Cell edges (ACE). ACE(x,y) : computes the average congestion of the top x% congested g-edges, while ignoring G-edges that are y% blocked. A typical value for y is 50

OVERVIEW There are two basic approaches to optimize the routability: (1) minimizing the routing demand (2) spreading the routing demand properly

OVERVIEW The whole placement is partitioned into three stages: (1)wirelength-driven seed placement generation; (2) routability driven cell spreading; (3) post-global placement.

OVERVIEW (1)wirelength-driven seed placement generation The global placement loop of POLAR is not stopped until the number of iterations is greater than 50 and the gap between the upper bound wirelengh and the lower bound wirelength is less than 15%.

OVERVIEW (2) routability driven cell spreading Firstly, in a global manner, we propose a routability- driven rough legalization which is a natural extension of POLAR's rough legalization idea. During routability-driven rough legalization, both area and routing congestion hotspots are detected.

OVERVIEW (2) routability driven cell spreading For each hotspot, the smallest window (expansion region) which has enough area and routing resources to satisfy all demands of the enclosed cells is searched by enumeration. Then a tree based bisection spreading technique is applied to distribute those cells within the window.

OVERVIEW (2) routability driven cell spreading Secondly, to avoid local routing congestion when distributing the cells within the window, a history based cell inflation technique is proposed.

OVERVIEW (3) post-global placement we adopt the same method as Ripple 2.0's [5], which has three components: 1. displacement-driven legalization, 2. congestion aware detailed placement and 3. simultaneous placement and routing refinement.

Routing demand estimation To calculate the exact routing demand, legalized placement and detailed routing are necessary. However, invoking legalization and detailed router during the global placement stage is very time consuming. Therefore, we use roughly legalized placement to calculate the pin locations, and then the congestion aware pattern routing of FastRoute is applied to estimate the routing demand.

Routing demand estimation To model the migration of routing demands, we associate the demands to movable cells by introducing two new attributes, the horizontal and vertical routing demand (H/V-demand), for each movable cell. H/V-demand of G-Cell j be denoted by HD j and VD j Number of movable cells within G-Cell j be denoted by k j H/V-demand of cell i, denoted by hd i and vd i,

Routability-driven rough legalization For each placement density hotspot, a minimal expansion window is found by enumerating the ones which have enough available area and reasonable aspect ratio. And then the cells are spread out evenly by a tree-based bisection within the chosen window.

History based cell inflation The tree based bisection spreading technique used in routability- driven rough legalization only distributes cells evenly according to area supply/demand. To avoid local routing congestion that routability-driven rough legalization cannot resolve, the routing demands of some cells are transformed into inflated area by a history based cell inflation.

EXPERIMENTAL RESULTS POLAR 2.0 was implemented in C++ and complied by g The benchmarks of ICCAD 2012 contest [22] are ran on a Linux PC with Intel Xeon X GHz CPU and 16GB RAM to verify the efficiency of POLAR 2.0. Routability evaluation is performed by ocial script in the ICCAD 2012 contest. The placement solution is routed by the designate global router- NCTRgr.

EXPERIMENTAL RESULTS

CONCLUSIONS In this paper, we propose a very simple and fast routability-driven placer, POLAR 2.0, which targets on mitigating routing congestion by the following two basic approaches: (1)minimizing routing demand by maintaining a good wirelength- driven placement (2) spreading the routing demand properly by a novel routability- driven rough legalization and a history based cell inflation.

CONCLUSIONS Experimental results show that even without applying many techniques that others proposed,POLAR 2.0 yet outperforms all published academic routability-driven placers. For future work, we will investigate the use of those techniques.