Triple Patterning Aware Detailed Placement With Constrained Pattern Assignment Haitong Tian, Yuelin Du, Hongbo Zhang, Zigang Xiao, Martin D.F. Wong.

Slides:



Advertisements
Similar presentations
Linear Time Algorithm to Find All Relocation Positions for EUV Defect Mitigation Yuelin Du, Hongbo Zhang, Qiang Ma and Martin D. F. Wong ASPDAC13.
Advertisements

Constraint Driven I/O Planning and Placement for Chip-package Co-design Jinjun Xiong, Yiuchung Wong, Egino Sarto, Lei He University of California, Los.
New Graph Bipartizations for Double-Exposure, Bright Field Alternating Phase-Shift Mask Layout Andrew B. Kahng (UCSD) Shailesh Vaya (UCLA) Alex Zelikovsky.
Native-Conflict-Aware Wire Perturbation for Double Patterning Technology Szu-Yu Chen, Yao-Wen Chang ICCAD 2010.
Fast Algorithms For Hierarchical Range Histogram Constructions
Maximum Battery Life Routing to Support Ubiquitous Mobile Computing in Wireless Ad Hoc Networks By C. K. Toh.
Xing Wei, Wai-Chung Tang, Yu-Liang Wu Department of Computer Science and Engineering The Chinese University of HongKong
Wen-Hao Liu1, Yih-Lang Li, and Cheng-Kok Koh Department of Computer Science, National Chiao-Tung University School of Electrical and Computer Engineering,
Meng-Kai Hsu, Sheng Chou, Tzu-Hen Lin, and Yao-Wen Chang Electronics Engineering, National Taiwan University Routability Driven Analytical Placement for.
A Size Scaling Approach for Mixed-size Placement Kalliopi Tsota, Cheng-Kok Koh, Venkataramanan Balakrishnan School of Electrical and Computer Engineering.
Methodology for Standard Cell Compliance and Detailed Placement for Triple Patterning Lithography Bei Yu, Xiaoqing Xu, JhihRong Gao, David Z. Pan.
Shuai Li and Cheng-Kok Koh School of Electrical and Computer Engineering, Purdue University West Lafayette, IN, Mixed Integer Programming Models.
Coupling-Aware Length-Ratio- Matching Routing for Capacitor Arrays in Analog Integrated Circuits Kuan-Hsien Ho, Hung-Chih Ou, Yao-Wen Chang and Hui-Fang.
Basic Feasible Solutions: Recap MS&E 211. WILL FOLLOW A CELEBRATED INTELLECTUAL TEACHING TRADITION.
An Optimal Algorithm of Adjustable Delay Buffer Insertion for Solving Clock Skew Variation Problem Juyeon Kim, Deokjin Joo, Taehan Kim DAC’13.
Ahmed Awad Atsushi Takahash Satoshi Tanakay Chikaaki Kodamay ICCAD’14
TPL-aware displacement-driven detailed placement refinement with coloring constraints Tao Lin and Chris Chu Iowa State University 1.
MCFRoute: A Detailed Router Based on Multi- Commodity Flow Method Xiaotao Jia, Yici Cai, Qiang Zhou, Gang Chen, Zhuoyuan Li, Zuowei Li.
Routability-Driven Blockage-Aware Macro Placement Yi-Fang Chen, Chau-Chin Huang, Chien-Hsiung Chiou, Yao-Wen Chang, Chang-Jen Wang.
The Rational Decision-Making Process
Branch and Bound Similar to backtracking in generating a search tree and looking for one or more solutions Different in that the “objective” is constrained.
38 th Design Automation Conference, Las Vegas, June 19, 2001 Creating and Exploiting Flexibility in Steiner Trees Elaheh Bozorgzadeh, Ryan Kastner, Majid.
Fast and Area-Efficient Phase Conflict Detection and Correction in Standard-Cell Layouts Charles Chiang, Synopsys Andrew B. Kahng, UC San Diego Subarna.
Rewiring – Review, Quantitative Analysis and Applications Matthew Tang Wai Chung CUHK CSE MPhil 10/11/2003.
Design Bright-Field AAPSM Conflict Detection and Correction C. Chiang, Synopsys A. Kahng, UC San Diego S. Sinha, Synopsys X. Xu, UC San Diego A. Zelikovsky,
Detailed Placement for Leakage Reduction Using Systematic Through-Pitch Variation Andrew B. Kahng †‡ Swamy Muddu ‡ Puneet Sharma ‡ CSE † and ECE ‡ Departments,
A Cell-Based Row-Structure Layout Decomposer for Triple Patterning Lithography Hsi-An Chien, Szu-Yuan Han, Ye-Hong Chen, and Ting-Chi Wang Department of.
A Resource-level Parallel Approach for Global-routing-based Routing Congestion Estimation and a Method to Quantify Estimation Accuracy Wen-Hao Liu, Zhen-Yu.
Metal Layer Planning for Silicon Interposers with Consideration of Routability and Manufacturing Cost W. Liu, T. Chien and T. Wang Department of CS, NTHU,
Constrained Pattern Assignment for Standard Cell Based Triple Patterning Lithography H. Tian, Y. Du, H. Zhang, Z. Xiao, M. D.F. Wong Department of ECE,
Optimally Minimizing Overlay Violation in Self-aligned Double Patterning Decomposition for Row-based Standard Cell Layout in Polynomial Time Z. Xiao, Y.
Hsiu-Yu Lai Ting-Chi Wang A TPL-Friendly Legalizer for Standard Cell Based Design SASIMI ‘15.
Accurate Process-Hotspot Detection Using Critical Design Rule Extraction Y. Yu, Y. Chan, S. Sinha, I. H. Jiang and C. Chiang Dept. of EE, NCTU, Hsinchu,
Authors: Jia-Wei Fang,Chin-Hsiung Hsu,and Yao-Wen Chang DAC 2007 speaker: sheng yi An Integer Linear Programming Based Routing Algorithm for Flip-Chip.
Hongbo Zhang, Yuelin Du, Martin D.F. Wong, Yunfei Deng, Pawitter Mangat Synopsys Inc., USA Dept. of ECE, Univ. of Illinois at Urbana-Champaign GlobalFoundries.
CAFE router: A Fast Connectivity Aware Multiple Nets Routing Algorithm for Routing Grid with Obstacles Y. Kohira and A. Takahashi School of Computer Science.
Seongbo Shim, Yoojong Lee, and Youngsoo Shin Lithographic Defect Aware Placement Using Compact Standard Cells Without Inter-Cell Margin.
TSV-Aware Analytical Placement for 3D IC Designs Meng-Kai Hsu, Yao-Wen Chang, and Valerity Balabanov GIEE and EE department of NTU DAC 2011.
March 20, 2007 ISPD An Effective Clustering Algorithm for Mixed-size Placement Jianhua Li, Laleh Behjat, and Jie Huang Jianhua Li, Laleh Behjat,
K.Yuan, J.Yang and D.Pan ECE Dept. Univ. of Texas at Austin
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5: Global Routing © KLMH Lienig 1 EECS 527 Paper Presentation High-Performance.
Bus-Pin-Aware Bus-Driven Floorplanning B. Wu and T. Ho Department of Computer Science and Information Engineering NCKU GLSVLSI 2010.
AUTOMATIC BUS PLANNER FOR DENSE PCBS Hui Kong, Tan Yan and Martin D.F. Wong Department of Electrical and Computer Engineering, University of Illinois at.
Tao Lin Chris Chu TPL-Aware Displacement- driven Detailed Placement Refinement with Coloring Constraints ISPD ‘15.
Deferred Decision Making Enabled Fixed- Outline Floorplanner Jackey Z. Yan and Chris Chu DAC 2008.
Register Placement for High- Performance Circuits M. Chiang, T. Okamoto and T. Yoshimura Waseda University, Japan DATE 2009.
Pattern Sensitive Placement For Manufacturability Shiyan Hu, Jiang Hu Department of Electrical and Computer Engineering Texas A&M University College Station,
Pattern Sensitive Placement For Manufacturability Shiyan Hu, Jiang Hu Department of Electrical and Computer Engineering Texas A&M University College Station,
Dept. of Electrical and Computer Engineering The University of Texas at Austin E-Beam Lothography Stencil Planning and Optimization wit Overlapped Characters.
1 Efficient Obstacle-Avoiding Rectilinear Steiner Tree Construction Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang National Taiwan.
Self-Aligned Double Patterning Decomposition for Overlay Minimization and Hot Spot Detection H. Zhang, Y. Du, M. D.F. Wong, R. Topaloglu Dept. of ECE,
1 ER UCLA ISPD: Sonoma County, CA, April, 2001 An Exact Algorithm for Coupling-Free Routing Ryan Kastner, Elaheh Bozorgzadeh,Majid Sarrafzadeh.
CSE 589 Part VI. Reading Skiena, Sections 5.5 and 6.8 CLR, chapter 37.
Hsing-Chih Chang Chien Hung-Chih Ou Tung-Chieh Chen Ta-Yu Kuan Yao-Wen Chang Double Patterning Lithography-Aware Analog Placement.
An Efficient Linear Time Triple Patterning Solver Haitong Tian Hongbo Zhang Zigang Xiao Martin D.F. Wong ASP-DAC’15.
PARR:Pin Access Planning and Regular Routing for Self-Aligned Double Patterning XIAOQING XU BEI YU JHIH-RONG GAO CHE-LUN HSU DAVID Z. PAN DAC’15.
On the Relation between SAT and BDDs for Equivalence Checking Sherief Reda Rolf Drechsler Alex Orailoglu Computer Science & Engineering Dept. University.
Non-stitch Triple Patterning- Aware Routing Based on Conflict Graph Pre-coloring Po-Ya Hsu Yao-Wen Chang.
ILP-Based Inter-Die Routing for 3D ICs Chia-Jen Chang, Pao-Jen Huang, Tai-Chen Chen, and Chien-Nan Jimmy Liu Department of Electrical Engineering, National.
Yen-Ting Yu Iris Hui-Ru Jiang Yumin Zhang Charles Chiang DRC-Based Hotspot Detection Considering Edge Tolerance and Incomplete Specification ICCAD’14.
System in Package and Chip-Package-Board Co-Design
High-Performance Global Routing with Fast Overflow Reduction Huang-Yu Chen, Chin-Hsiung Hsu, and Yao-Wen Chang National Taiwan University Taiwan.
1 An Efficient Optimal Leaf Ordering for Hierarchical Clustering in Microarray Gene Expression Data Analysis Jianting Zhang Le Gruenwald School of Computer.
Efficient Placement and Dispatch of Sensors in a Wireless Sensor Network You-Chiun Wang, Chun-Chi Hu, and Yu-Chee Tseng IEEE Transactions on Mobile Computing.
1 Double-Patterning Aware DSA Template Guided Cut Redistribution for Advanced 1-D Gridded Designs Zhi-Wen Lin and Yao-Wen Chang National Taiwan University.
11 Yibo Lin 1, Xiaoqing Xu 1, Bei Yu 2, Ross Baldick 1, David Z. Pan 1 1 ECE Department, University of Texas at Austin 2 CSE Department, Chinese University.
Prof. Yu-Chee Tseng Department of Computer Science
SAT-Based Area Recovery in Technology Mapping
Automated Layout and Phase Assignment for Dark Field PSM
Faster Extraction of High-Level Minimal Unsatisfiable Cores
Presentation transcript:

Triple Patterning Aware Detailed Placement With Constrained Pattern Assignment Haitong Tian, Yuelin Du, Hongbo Zhang, Zigang Xiao, Martin D.F. Wong

Outline INTRODUCTION PRELIMINARIES CPA-FRIENDLY DETAILED PLACEMENT CPA-FRIENDLY REFINEMENT WITH OPTIMAL HPWL EXPERIMENTAL RESULTS CONCLUSIONS

INTRODUCTION With the fast development of the semiconductor industry, products are already available using 22nm technology node, and 14/10nm technology node is also coming near. For such small features, traditional immersion lithography are facing great challenges, as the features are so small and close to each other that they can not be well printed in one exposure. Triple patterning lithography (TPL) has been recognized as one of the most promising techniques for 14/10nm technology node.

INTRODUCTION For standard cell based designs, assigning the same pattern for the same type of cells is a desired property for TPL decomposition. It is more robust for process variations and gives the chip similar physical and electrical characteristics as well as more reliable and predictable performance. Assigning the same type of pattern for the same type of cell is called a constrained pattern assignment (CPA) problem.

INTRODUCTION

As modifying the layout after the placement stage is extremely costly and inefficient, it is highly preferred to refine the layout during the detailed placement stage to make it CPA friendly.

The contributions of this paper can be summarized as follows. We propose an approach to effectively deal with TPL aware detailed placement problem with CPA coloring constraints. We propose an efficient graph model to compute the exact locations of the cells with optimal HPWL. Instead of fixing the TPL decomposition after the integrated flow, a solution graph which explores all legal solution space is computed, giving the designers

INTRODUCTION We formulate the problem into a weighted partial MAX SAT problem with limited number of clauses, which guarantees to find a solution while minimizing the area overhead. An efficient graph model is also proposed to compute the exact locations of the cells with optimal HPWL. For each standard cell, our algorithm compute a CPA-friendly solution graph, which essentially explores all legal solution space for the cell.

CPA-friendly Detailed Placement Given a legalized standard cell based detailed placement layout and a minimum conflicting distance, our objective is to compute a CPA friendly placement layout while minimizing the total area and HPWL overhead.

CPA-FRIENDLY DETAILED PLACEMENT We reuse the definitions of Constraint graph (CG) and Solution graph (SG) in [15], and boundary polygons (BP) in [14] for consistency.

Hard Clauses The hard clauses denote those constraints that must be satisfied. After identifying all boundary polygons, the hard clauses are formulated as follows.

Hard Clauses

Soft Clauses After setting up all the hard clauses, a solution computed is guaranteed to be legal at the cell level. At the layout level, the inter- cell constraints need to be properly captured to reflect the CPA coloring requirements.

Soft Clauses Similar approaches can be used to formulate the soft clauses for two conflicting boundary polygons. Define as follows, which is the total number of placement sites needed to make all and conflict free to each other.

For two boundary polygons 1 and 2, 12 placement sites are needed to make them conflict free, the soft clauses will have weight 12. Similarly, the weight for clauses between 1 and 3 is 13. The soft clauses in Fig. 2 can be expressed as follows.

Definition 1 (Atomic Unit). For any two conflicting polygons and, the Atomic Unit (AU) is defined as the following clauses: Lemma 1. For any AU, at least two of its three clauses are satisfied.

Denote the atomic unit of two boundary polygons and as. The soft clause for and can be denoted as. Denote all the hard clauses as C ℎ, and rewrite the soft clauses as Our objective is to minimize

The formulation are composed of two parts: hard clauses which must be satisfied and soft clauses where the clauses with a maximum amount of total weight are satisfied. The problem remains of how can we properly map the value of the objective function to the area overhead for achieving a CPA-friendly layout.

Capturing Critical Polygons

Definition 2 (X-Freedom). The X-Freedom of two boundary polygons and is defined as the horizontal distance ℎ one needs to move and further apart from each other, such that the distance of and equals to. Definition 3 (Critical Polygons). The critical polygons between two type of cells are defined as the pair of boundary polygons with the largest X- Freedom.

Lemma 2. The value of equals to the area overhead to achieve a CPA-friendly placement result.

Excluding Native Conflicts For non-critical boundary polygons, we need to be careful with the native conflicts when adding them into the hard clauses. If they are added into the hard clauses, the weighted partial MAX SAT solver will return no results even a solution exists.

Excluding Native Conflicts Therefore, a preprocessing step is incorporated to detect the native conflicts among non-critical boundary polygons. If there are native conflicts, they are not added into the hard clauses. Instead, we locate all such kind of boundaries and insert necessary placement sites to remove these conflicts. Denote the area overhead incurred by the native conflicts as, the final area overhead equals to +.

CPA-friendly Solution Graph After solving the MAX SAT formulation, the coloring solutions of all boundary polygons are known. The algorithm in [15] is applied to compute a solution graph for each type of cell in the cell library. The algorithm in [15] essentially explores all the solution space that satisfies the MAX SAT constraints which are solved in the SAT formulation. The solution graph incorporates all legal solutions for the cell. Instead of fixing the TPL decomposition, we leave the designers the freedom to choose whichever decomposition that suits their particular needs.

CPA-friendly Solution Graph

CPA-FRIENDLY REFINEMENT WITH OPTIMAL HPWL

Capturing X-Scope of a Cell Definition 4 (X-Scope). The X-Scope of a cell instance is defined as an interval [, ], where and represent the left most location and right most location respectively that we can place while satisfying CPA coloring constraints.

Capturing X-Scope of a Cell A look-up table LUT is constructed with dimension ×. For any two cells and, there are four types of cell adjacency

Intuitively, if we pack all the cell instances before as compact as possible, we get. Similarly, if we pack all the cell instances after as compact as possible, we get.

Constructing a Graph Model After computing the X-Scope of all cell instances, a directed acyclic graph model = (,) can be constructed to compute the exact locations of all the cell instances with optimal HPWL.

Constructing a Graph Model

EXPERIMENTAL RESULTS The algorithm is implemented in C++ and run on a Linux server with 4GB RAM and a 2.53 GHZ CPU. All benchmarks are generated using NanGate FreePDK45 Generic Open Cell Library [2], which is available online. The standard cells are randomly selected from the cell library, and are aligned adjacently in different rows of a chip. Nets for all cells are randomly generated, where each cell is connected with three to ten nets.

EXPERIMENTAL RESULTS

CONCLUSIONS In this paper, we integrate the flow of detailed placement and TPL decompositions, which guarantees a CPA-friendly layout in the early design stage and avoids costly modifications after placement and routing. Compared with a the approach of fixing the cell colors beforehand, the area overhead reduction is as much as 79.4% on average for all the benchmarks. Better results are also reported on HPWL for all benchmarks.