CS 252 Graduate Computer Architecture Lecture 4: Instruction-Level Parallelism Krste Asanovic Electrical Engineering and Computer Sciences University of.

Slides:



Advertisements
Similar presentations
Out-of-Order Execution & Register Renaming
Advertisements

2/28/2013 CS152, Spring 2013 CS 152 Computer Architecture and Engineering Lecture 11 - Out-of-Order Issue, Register Renaming, & Branch Prediction Krste.
Dynamic ILP: Scoreboard Professor Alvin R. Lebeck Computer Science 220 / ECE 252 Fall 2008.
ECE 552 / CPS 550 Advanced Computer Architecture I Lecture 8 Instruction-Level Parallelism – Part 1 Benjamin Lee Electrical and Computer Engineering Duke.
COMP25212 Advanced Pipelining Out of Order Processors.
CSE 490/590, Spring 2011 CSE 490/590 Computer Architecture Complex Pipelining II Steve Ko Computer Sciences and Engineering University at Buffalo.
February 28, 2011CS152, Spring 2011 CS 152 Computer Architecture and Engineering Lecture 10 - Complex Pipelines, Out-of-Order Issue, Register Renaming.
THE MIPS R10000 SUPERSCALAR MICROPROCESSOR Kenneth C. Yeager IEEE Micro in April 1996 Presented by Nitin Gupta.
Microprocessor Microarchitecture Dependency and OOO Execution Lynn Choi Dept. Of Computer and Electronics Engineering.
Instruction-Level Parallelism (ILP)
Spring 2003CSE P5481 Reorder Buffer Implementation (Pentium Pro) Hardware data structures retirement register file (RRF) (~ IBM 360/91 physical registers)
CPE 731 Advanced Computer Architecture ILP: Part IV – Speculative Execution Dr. Gheith Abandah Adapted from the slides of Prof. David Patterson, University.
CSE 490/590, Spring 2011 CSE 490/590 Computer Architecture ILP II Steve Ko Computer Sciences and Engineering University at Buffalo.
February 28, 2012CS152, Spring 2012 CS 152 Computer Architecture and Engineering Lecture 11 - Out-of-Order Issue, Register Renaming, & Branch Prediction.
1 IF IDEX MEM L.D F4,0(R2) MUL.D F0, F4, F6 ADD.D F2, F0, F8 L.D F2, 0(R2) WB IF IDM1 MEM WBM2M3M4M5M6M7 stall.
CS 152 Computer Architecture and Engineering Lecture 13 - Out-of-Order Issue, Register Renaming, & Branch Prediction Krste Asanovic Electrical Engineering.
CSE 490/590, Spring 2011 CSE 490/590 Computer Architecture Complex Pipelining I Steve Ko Computer Sciences and Engineering University at Buffalo.
March 11, 2010CS152, Spring 2010 CS 152 Computer Architecture and Engineering Lecture 14 - Advanced Superscalars Krste Asanovic Electrical Engineering.
Computer Architecture 2011 – Out-Of-Order Execution 1 Computer Architecture Out-Of-Order Execution Lihu Rappoport and Adi Yoaz.
CSE 490/590, Spring 2011 CSE 490/590 Computer Architecture ILP I Steve Ko Computer Sciences and Engineering University at Buffalo.
March 2, 2010CS152, Spring 2010 CS 152 Computer Architecture and Engineering Lecture 12 - Complex Pipelines Krste Asanovic Electrical Engineering and Computer.
CS 152 Computer Architecture and Engineering Lecture 15 - Advanced Superscalars Krste Asanovic Electrical Engineering and Computer Sciences University.
March 4, 2010CS152, Spring 2010 CS 152 Computer Architecture and Engineering Lecture 13 - Out-of-Order Issue, Register Renaming, & Branch Prediction Krste.
Chapter 12 Pipelining Strategies Performance Hazards.
CS 152 Computer Architecture and Engineering Lecture 12 - Complex Pipelines Krste Asanovic Electrical Engineering and Computer Sciences University of California.
CS 152 Computer Architecture and Engineering Lecture 13 - Out-of-Order Issue and Register Renaming Krste Asanovic Electrical Engineering and Computer Sciences.
CS 152 Computer Architecture and Engineering Lecture 12 - Complex Pipelines Krste Asanovic Electrical Engineering and Computer Sciences University of California.
March 9, 2011CS152, Spring 2011 CS 152 Computer Architecture and Engineering Lecture 12 - Advanced Out-of-Order Superscalars Krste Asanovic Electrical.
1 IBM System 360. Common architecture for a set of machines. Robert Tomasulo worked on a high-end machine, the Model 91 (1967), on which they implemented.
COMP381 by M. Hamdi 1 Pipelining (Dynamic Scheduling Through Hardware Schemes)
1 COMP 206: Computer Architecture and Implementation Montek Singh Wed, Oct 5, 2005 Topic: Instruction-Level Parallelism (Dynamic Scheduling: Scoreboarding)
Computer Architecture 2010 – Out-Of-Order Execution 1 Computer Architecture Out-Of-Order Execution Lihu Rappoport and Adi Yoaz.
March 2, 2011CS152, Spring 2011 CS 152 Computer Architecture and Engineering Lecture 11 - Out-of-Order Issue, Register Renaming, & Branch Prediction Krste.
CSC 4250 Computer Architectures October 13, 2006 Chapter 3.Instruction-Level Parallelism & Its Dynamic Exploitation.
Arvind and Joel Emer Computer Science and Artificial Intelligence Laboratory M.I.T. Branch Prediction.
Nov. 9, Lecture 6: Dynamic Scheduling with Scoreboarding and Tomasulo Algorithm (Section 2.4)
1 Sixth Lecture: Chapter 3: CISC Processors (Tomasulo Scheduling and IBM System 360/91) Please recall:  Multicycle instructions lead to the requirement.
ECE 552 / CPS 550 Advanced Computer Architecture I Lecture 9 Instruction-Level Parallelism – Part 2 Benjamin Lee Electrical and Computer Engineering Duke.
© Wen-mei Hwu and S. J. Patel, 2005 ECE 412, University of Illinois Lecture Instruction Execution: Dynamic Scheduling.
CS6461 – Computer Architecture Fall 2015 Morris Lancaster Adapted from Professor Stephen Kaisler’s Slides Lecture 8 Instruction level Parallelism (continued)
CS 152 Computer Architecture and Engineering Lecture 15 - Out-of-Order Memory, Complex Superscalars Review Krste Asanovic Electrical Engineering and Computer.
Professor Nigel Topham Director, Institute for Computing Systems Architecture School of Informatics Edinburgh University Informatics 3 Computer Architecture.
Out-of-Order Execution & Register Renaming Krste Asanovic Laboratory for Computer Science Massachusetts Institute of Technology Asanovic/Devadas Spring.
1 Lecture 7: Speculative Execution and Recovery Branch prediction and speculative execution, precise interrupt, reorder buffer.
1 Images from Patterson-Hennessy Book Machines that introduced pipelining and instruction-level parallelism. Clockwise from top: IBM Stretch, IBM 360/91,
CSC 4250 Computer Architectures September 29, 2006 Appendix A. Pipelining.
04/03/2016 slide 1 Dynamic instruction scheduling Key idea: allow subsequent independent instructions to proceed DIVDF0,F2,F4; takes long time ADDDF10,F0,F8;
Dataflow Order Execution  Use data copying and/or hardware register renaming to eliminate WAR and WAW ­register name refers to a temporary value produced.
March 1, 2012CS152, Spring 2012 CS 152 Computer Architecture and Engineering Lecture 12 - Advanced Out-of-Order Superscalars Krste Asanovic Electrical.
COMP25212 Advanced Pipelining Out of Order Processors.
CS203 – Advanced Computer Architecture ILP and Speculation.
CS 152 Computer Architecture and Engineering Lecture 10 - Complex Pipelines, Out-of-Order Issue, Register Renaming John Wawrzynek Electrical Engineering.
Dynamic Scheduling Why go out of style?
Computer Organization CS224
CS 152 Computer Architecture and Engineering Lecture 11 - Out-of-Order Issue, Register Renaming, & Branch Prediction John Wawrzynek Electrical Engineering.
Lecture 10 - Complex Pipelines, Out-of-Order Issue, Register Renaming
/ Computer Architecture and Design
PowerPC 604 Superscalar Microprocessor
Microprocessor Microarchitecture Dynamic Pipeline
Out of Order Processors
Lecture 8: ILP and Speculation Contd. Chapter 2, Sections 2. 6, 2
Electrical and Computer Engineering
CS 152 Computer Architecture and Engineering Lecture 13 - Out-of-Order Issue, Register Renaming, & Branch Prediction Krste Asanovic Electrical Engineering.
Krste Asanovic Electrical Engineering and Computer Sciences
Krste Asanovic Electrical Engineering and Computer Sciences
Adapted from the slides of Prof
Krste Asanovic Electrical Engineering and Computer Sciences
Lecture 7: Dynamic Scheduling with Tomasulo Algorithm (Section 2.4)
How to improve (decrease) CPI
Adapted from the slides of Prof
Presentation transcript:

CS 252 Graduate Computer Architecture Lecture 4: Instruction-Level Parallelism Krste Asanovic Electrical Engineering and Computer Sciences University of California, Berkeley

9/11/ Review: Pipeline Performance Pipeline CPI = Ideal pipeline CPI + Structural Stalls + Data Hazard Stalls + Control Stalls –Ideal pipeline CPI: measure of the maximum performance attainable by the implementation –Structural hazards: HW cannot support this combination of instructions –Data hazards: Instruction depends on result of prior instruction still in the pipeline –Control hazards: Caused by delay between the fetching of instructions and decisions about changes in control flow (branches, jumps, exceptions)

9/11/ Review: Types of Data Hazards Consider executing a sequence of r k (r i ) op (r j ) type of instructions Data-dependence r 3  (r 1 ) op (r 2 ) Read-after-Write r 5  (r 3 ) op (r 4 )(RAW) hazard Anti-dependence r 3  (r 1 ) op (r 2 ) Write-after-Read r 1  (r 4 ) op (r 5 )(WAR) hazard Output-dependence r 3  (r 1 ) op (r 2 ) Write-after-Write r 3  (r 6 ) op (r 7 ) (WAW) hazard

9/11/ Data Hazards: An Example I 1 DIVDf6, f6,f4 I 2 LDf2,45(r3) I 3 MULTDf0,f2,f4 I 4 DIVDf8,f6,f2 I 5 SUBDf10,f0,f6 I 6 ADDDf6,f8,f2 RAW Hazards WAR Hazards WAW Hazards destsrc1src2

9/11/ Complex Pipelining IF ID WB ALUMem Fadd Fmul Fdiv Issue GPR’s FPR’s Pipelining becomes complex when we want high performance in the presence of: Long latency or partially pipelined floating-point units Multiple function and memory units Memory systems with variable access time Precise exceptions

9/11/ Complex In-Order Pipeline Delay writeback so all operations have same latency to W stage –Write ports never oversubscribed (one inst. in & one inst. out every cycle) –Instructions commit in order, simplifies precise exception implementation Commit Point PC Inst. Mem D Decode X1X2 Data Mem W + GPRs X2W Fadd X3 FPRs X1 X2 Fmul X3 X2 FDiv X3 Unpipelined divider How to prevent increased writeback latency from slowing down single cycle integer operations? Bypassing

9/11/ Complex Pipeline IF ID WB ALUMem Fadd Fmul Fdiv Issue GPR’s FPR’s Can we solve write hazards without equalizing all pipeline depths and without bypassing?

9/11/ When is it Safe to Issue an Instruction? Suppose a data structure keeps track of all the instructions in all the functional units The following checks need to be made before the Issue stage can dispatch an instruction Is the required function unit available? Is the input data available?  RAW? Is it safe to write the destination? WAR? WAW? Is there a structural conflict at the WB stage?

9/11/ Scoreboard for In-order Issues Busy[FU#] : a bit-vector to indicate FU’s availability. (FU = Int, Add, Mult, Div) These bits are hardwired to FU's. WP[reg#] : a bit-vector to record the registers for which writes are pending. These bits are set to true by the Issue stage and set to false by the WB stage Issue checks the instruction (opcode dest src1 src2) against the scoreboard (Busy & WP) to dispatch FU available? RAW? WAR? WAW? Busy[FU#] WP[src1] or WP[src2] cannot arise WP[dest]

9/11/ In-Order Issue Limitations: an example latency 1LDF2, 34(R2)1 2LDF4,45(R3)long 3MULTDF6,F4,F23 4SUBDF8,F2,F21 5DIVDF4,F2,F84 6ADDDF10,F6,F41 In-order: 1 (2,1) In-order restriction prevents instruction 4 from being dispatched (underline indicates cycle when instruction writes back)

9/11/ Out-of-Order Issue Issue stage buffer holds multiple instructions waiting to issue. Decode adds next instruction to buffer if there is space and the instruction does not cause a WAR or WAW hazard. Any instruction in buffer whose RAW hazards are satisfied can be issued (for now at most one dispatch per cycle). On a write back (WB), new instructions may get enabled. IFIDWB ALUMem Fadd Fmul Issue

9/11/ In-Order Issue Limitations: an example latency 1LDF2, 34(R2)1 2LDF4,45(R3)long 3MULTDF6,F4,F23 4SUBDF8,F2,F21 5DIVDF4,F2,F84 6ADDDF10,F6,F41 In-order: 1 (2,1) Out-of-order: 1 (2,1) Out-of-order execution did not allow any significant improvement!

9/11/ How many instructions can be in the pipeline? Which features of an ISA limit the number of instructions in the pipeline? Which features of a program limit the number of instructions in the pipeline? Out-of-order dispatch by itself does not provide any significant performance improvement ! Number of Registers Control transfers

9/11/ Overcoming the Lack of Register Names Floating Point pipelines often cannot be kept filled with small number of registers. IBM 360 had only 4 Floating Point Registers Can a microarchitecture use more registers than specified by the ISA without loss of ISA compatibility ? Robert Tomasulo of IBM suggested an ingenious solution in 1967 based on on-the-fly register renaming

9/11/ Little’s Law Throughput (T) = Number in Flight (N) / Latency (L) WB Issue Execution Example: 4 floating point registers 8 cycles per floating point operation  maximum of ½ issue per cycle!

9/11/ Instruction-level Parallelism via Renaming latency 1LDF2, 34(R2)1 2LDF4,45(R3)long 3MULTDF6,F4,F23 4SUBDF8,F2,F21 5DIVDF4’,F2,F84 6ADDDF10,F6,F4’1 In-order: 1 (2,1) Out-of-order: 1 (2,1) (3,5) X Any antidependence can be eliminated by renaming. (renaming  additional storage) Can it be done in hardware? yes!

9/11/ Register Renaming Decode does register renaming and adds instructions to the issue stage reorder buffer (ROB)  renaming makes WAR or WAW hazards impossible Any instruction in ROB whose RAW hazards have been satisfied can be dispatched.  Out-of-order or dataflow execution IFIDWB ALUMem Fadd Fmul Issue

9/11/ Dataflow execution Instruction slot is candidate for execution when: It holds a valid instruction (“use” bit is set) It has not already started execution (“exec” bit is clear) Both operands are available (p1 and p2 are set) Reorder buffer t1t2...tnt1t2...tn ptr 2 next to deallocate prt 1 next available Ins# use exec op p1 src1 p2 src2

9/11/ Renaming & Out-of-order Issue An example When are names in sources replaced by data? When can a name be reused? 1LDF2, 34(R2) 2LDF4,45(R3) 3MULTDF6,F4,F2 4SUBDF8,F2,F2 5DIVDF4,F2,F8 6ADDDF10,F6,F4 Renaming tableReorder buffer Ins# use exec op p1 src1 p2 src2 t1t2t3t4t5..t1t2t3t4t5.. data / t i p data F1 F2 F3 F4 F5 F6 F7 F8 Whenever an FU produces data Whenever an instruction completes t LD t LD DIV 1 v1 0 t SUB 1 v1 1 v1 t MUL 0 t2 1 v1 t3 t5 v LD SUB 1 v1 1 v1 4 0 v DIV 1 v1 1 v LD MUL 1 v2 1 v1

9/11/ Data-Driven Execution Renaming table & reg file Reorder buffer Load Unit FU Store Unit Ins# use exec op p1 src1 p2 src2 t1t2..tnt1t2..tn Instruction template (i.e., tag t) is allocated by the Decode stage, which also stores the tag in the reg file When an instruction completes, its tag is deallocated Replacing the tag by its value is an expensive operation

9/11/ Simplifying Allocation/Deallocation Instruction buffer is managed circularly “exec” bit is set when instruction begins execution When an instruction completes, its “use” bit is marked free ptr 2 is incremented only if the “use” bit is marked free Reorder buffer t1t2...tnt1t2...tn ptr 2 next to deallocate prt 1 next available Ins# use exec op p1 src1 p2 src2

9/11/ IBM 360/91 Floating Point Unit R. M. Tomasulo, 1967 Mult pdata p 1212 p load buffers (from memory) Adder pdata p Floating Point Reg store buffers (to memory)... instructions Common bus ensures that data is made available immediately to all the instructions waiting for it distribute instruction templates by functional units p data

9/11/ Effectiveness? Renaming and Out-of-order execution was first implemented in 1969 in IBM 360/91 but did not show up in the subsequent models until mid- Nineties. Why ? Reasons 1. Effective on a very small class of programs 2. Memory latency a much bigger problem 3. Exceptions not precise! One more problem needed to be solved Control transfers

9/11/ CS252 Administrivia Prereq quiz will be handed back Thursday at end of class Bspace experience? First reading assignment split into two parts, second part due Thursday Next reading assignment distributed Thursday, due Tuesday Please see me if you’re currently waitlisted

9/11/ In the News… AMD Quad-Core Released 9/10/2007 First single die quad-core x86 processor Major marketing points include energy efficiency & virtualization features (not clock rate)

9/11/ Precise Interrupts It must appear as if an interrupt is taken between two instructions (say I i and I i+1 ) the effect of all instructions up to and including I i is totally complete no effect of any instruction after I i has taken place The interrupt handler either aborts the program or restarts it at I i+1.

9/11/ Effect on Interrupts Out-of-order Completion I 1 DIVDf6, f6,f4 I 2 LDf2,45(r3) I 3 MULTDf0,f2,f4 I 4 DIVDf8,f6,f2 I 5 SUBDf10,f0,f6 I 6 ADDDf6,f8,f2 out-of-order comp restore f2 restore f10 Consider interrupts Precise interrupts are difficult to implement at high speed - want to start execution of later instructions before exception checks finished on earlier instructions

9/11/ Exception Handling (In-Order Five-Stage Pipeline) Hold exception flags in pipeline until commit point (M stage) Exceptions in earlier pipe stages override later exceptions Inject external interrupts at commit point (override others) If exception at commit: update Cause and EPC registers, kill all stages, inject handler PC into fetch stage Asynchronous Interrupts Exc D PC D PC Inst. Mem D Decode EM Data Mem W + Exc E PC E Exc M PC M Cause EPC Kill D Stage Kill F Stage Kill E Stage Illegal Opcode Overflow Data Addr Except PC Address Exceptions Kill Writeback Select Handler PC Commit Point

9/11/ Fetch: Instruction bits retrieved from cache. Phases of Instruction Execution I-cache Fetch Buffer Issue Buffer Func. Units Arch. State Execute: Instructions and operands sent to execution units. When execution completes, all results and exception flags are available. Decode: Instructions placed in appropriate issue (aka “dispatch”) stage buffer Result Buffer Commit: Instruction irrevocably updates architectural state (aka “graduation” or “completion”). PC

9/11/ In-Order Commit for Precise Exceptions Instructions fetched and decoded into instruction reorder buffer in-order Execution is out-of-order (  out-of-order completion) Commit (write-back to architectural state, i.e., regfile & memory) is in-order Temporary storage needed to hold results before commit (shadow registers and store buffers) FetchDecode Execute Commit Reorder Buffer In-order Out-of-order Kill Exception? Inject handler PC

9/11/ Extensions for Precise Exceptions Reorder buffer ptr 2 next to commit ptr 1 next available add fields in the instruction template commit instructions to reg file and memory in program order  buffers can be maintained circularly on exception, clear reorder buffer by resetting ptr 1 =ptr 2 (stores must wait for commit before updating memory) Inst# use exec op p1 src1 p2 src2 pd dest data cause

9/11/ Rollback and Renaming Register file does not contain renaming tags any more. How does the decode stage find the tag of a source register? Search the “dest” field in the reorder buffer Register File (now holds only committed state) Reorder buffer Load Unit FU Store Unit t1t2..tnt1t2..tn Ins# use exec op p1 src1 p2 src2 pd dest data Commit

9/11/ Renaming Table Register File Reorder buffer Load Unit FU Store Unit t1t2..tnt1t2..tn Ins# use exec op p1 src1 p2 src2 pd dest data Commit Rename Table Renaming table is a cache to speed up register name look up. It needs to be cleared after each exception taken. When else are valid bits cleared? Control transfers r1r1 tv r2r2 tag valid bit

9/11/ Branch Penalty I-cache Fetch Buffer Issue Buffer Func. Units Arch. State Execute Decode Result Buffer Commit PC Fetch Branch executed Next fetch started How many instructions need to be killed on a misprediction? Modern processors may have > 10 pipeline stages between next pc calculation and branch resolution !

9/11/ Average Run-Length between Branches Average dynamic instruction mix from SPEC92: SPECint92 SPECfp92 ALU39 %13 % FPU Add 20 % FPU Mult13 % load26 %23 % store 9 % 9 % branch16 % 8 % other10 %12 % SPECint92: compress, eqntott, espresso, gcc, li SPECfp92: doduc, ear, hydro2d, mdijdp2, su2cor What is the averagerun length between branches? next lecture: Branch prediction & Speculative excecution

9/11/ Paper Discussion: B5000 vs IBM 360 IBM set foundations for ISAs since 1960s –8-bit byte –Byte-addressable memory (as opposed to word-addressable memory) –32-bit words –Two's complement arithmetic (but not the first processor) –32-bit (SP) / 64-bit (DP) Floating Point format and registers –Commercial use of microcoded CPUs –Binary compatibility / computer family B5000 very different model: HLL only, stack, Segmented VM IBM paper made case for ISAs good for microcoded processors  leading to CISC