Design of Integrated-Circuit Interconnects with Accurate Modeling of Chemical-Mechanical Planarization Lei He, Andrew B. Kahng*, Kingho Tam, Jinjun Xiong.

Slides:



Advertisements
Similar presentations
Hierarchical Dummy Fill for Process Uniformity Supported by Cadence Design Systems, Inc. NSF, and the Packard Foundation Y. Chen, A. B. Kahng, G. Robins,
Advertisements

Design Rule Generation for Interconnect Matching Andrew B. Kahng and Rasit Onur Topaloglu {abk | rtopalog University of California, San Diego.
Tunable Sensors for Process-Aware Voltage Scaling
OCV-Aware Top-Level Clock Tree Optimization
UCLA Modeling and Optimization for VLSI Layout Professor Lei He
1 Modeling and Optimization of VLSI Interconnect Lecture 9: Multi-net optimization Avinoam Kolodny Konstantin Moiseev.
Net-Ordering for Optimal Circuit Timing in Nanometer Interconnect Design M. Sc. work by Moiseev Konstantin Supervisors: Dr. Shmuel Wimer, Dr. Avinoam Kolodny.
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
1 Worst-case Delay Analysis Considering the Variability of Transistors and Interconnects Takayuki Fukuoka, Tsuchiya Akira and Hidetoshi Onodera Kyoto University.
Noise Model for Multiple Segmented Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu †, Niranjan A. Pol ‡ and Devendra Vidhani* UCSD CSE and ECE.
The Cost of Fixing Hold Time Violations in Sub-threshold Circuits Yanqing Zhang, Benton Calhoun University of Virginia Motivation and Background Power.
Rasit Onur Topaloglu University of California San Diego Computer Science and Engineering Department Ph.D. candidate “Location.
Monte-Carlo Methods for Chemical-Mechanical Planarization on Multiple-Layer and Dual-Material Models Supported by Cadence Design Systems, Inc., NSF, the.
Reticle Floorplanning With Guaranteed Yield for Multi-Project Wafers Andrew B. Kahng ECE and CSE Dept. University of California San Diego Sherief Reda.
Performance-Impact Limited Area Fill Synthesis
Design Sensitivities to Variability: Extrapolations and Assessments in Nanometer VLSI Y. Kevin Cao *, Puneet Gupta +, Andrew Kahng +, Dennis Sylvester.
Fill for Shallow Trench Isolation CMP
Architectural-Level Prediction of Interconnect Wirelength and Fanout Kwangok Jeong, Andrew B. Kahng and Kambiz Samadi UCSD VLSI CAD Laboratory
Study of Floating Fill Impact on Interconnect Capacitance Andrew B. Kahng Kambiz Samadi Puneet Sharma CSE and ECE Departments University of California,
Practical Iterated Fill Synthesis for CMP Uniformity Supported by Cadence Design Systems, Inc. Y. Chen, A. B. Kahng, G. Robins, A. Zelikovsky (UCLA, UVA.
DPIMM-03 1 Performance-Impact Limited Area Fill Synthesis Yu Chen, Puneet Gupta, Andrew B. Kahng (UCLA, UCSD) Supported by Cadence.
1 UCSD VLSI CAD Laboratory ISQED-2009 Revisiting the Linear Programming Framework for Leakage Power vs. Performance Optimization Kwangok Jeong, Andrew.
Toward Performance-Driven Reduction of the Cost of RET-Based Lithography Control Dennis Sylvester Jie Yang (Univ. of Michigan,
Fill for Shallow Trench Isolation CMP Andrew B. Kahng 1,2 Puneet Sharma 1 Alexander Zelikovsky 3 1 ECE Department, University of California – San Diego.
Effects of Global Interconnect Optimizations on Performance Estimation of Deep Sub-Micron Design Yu (Kevin) Cao 1, Chenming Hu 1, Xuejue Huang 1, Andrew.
© 2005 Altera Corporation © 2006 Altera Corporation Placement and Timing for FPGAs Considering Variations Yan Lin 1, Mike Hutton 2 and Lei He 1 1 EE Department,
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Lei He, Andrew B. Kahng*, Kingho Tam, Jinjun Xiong
Detailed Placement for Leakage Reduction Using Systematic Through-Pitch Variation Andrew B. Kahng †‡ Swamy Muddu ‡ Puneet Sharma ‡ CSE † and ECE ‡ Departments,
Simultaneous Buffer Insertion and Wire Sizing Considering Systematic CMP Variation and Random Leff Variation Lei He 1, Andrew Kahng 2, King Ho Tam 1, Jinjun.
Topography-Aware OPC for Better DOF margin and CD control Puneet Gupta*, Andrew B. Kahng*†‡, Chul-Hong Park†, Kambiz Samadi†, and Xu Xu‡ * Blaze-DFM Inc.
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing Puneet Gupta 1 Andrew B. Kahng 1,2,3 O.S. Nakagawa 1 Kambiz.
Design of Integrated-Circuit Interconnects with Accurate Modeling of Chemical-Mechanical Planarization Lei He, Andrew B. Kahng* #, Kingho Tam, Jinjun Xiong.
UC San Diego Computer Engineering. VLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD.
Hierarchical Dummy Fill for Process Uniformity Supported by Cadence Design Systems, Inc. Y. Chen, A. B. Kahng, G. Robins, A. Zelikovsky (UCLA, UCSD, UVA.
RLC Interconnect Modeling and Design Students: Jinjun Xiong, Jun Chen Advisor: Lei He Electrical Engineering Department Design Automation Group (
7/14/ Design for Manufacturability Prof. Shiyan Hu Office: EERC 731.
Effects of Global Interconnect Optimizations on Performance Estimation of Deep Sub-Micron Design Yu Cao, Chenming Hu, Xuejue Huang, Andrew B. Kahng, Sudhakar.
1 A Novel Metric for Interconnect Architecture Performance Parthasarathi Dasgupta, Andrew B. Kahng, Swamy V. Muddu Dept. of CSE and ECE University of California,
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Hierarchical Dummy Fill for Process Uniformity Supported by Cadence Design Systems, Inc. NSF, and the Packard Foundation Y. Chen, A. B. Kahng, G. Robins,
Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department,
A Methodology for Interconnect Dimension Determination By: Jeff Cobb Rajesh Garg Sunil P Khatri Department of Electrical and Computer Engineering, Texas.
Novel Wire Density Driven Full-Chip Routing for CMP Variation Control Huang-Yu Chen †, Szu-Jui Chou †, Sheng-Lung Wang ‡, and Yao-Wen Chang † † National.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
Seongbo Shim, Yoojong Lee, and Youngsoo Shin Lithographic Defect Aware Placement Using Compact Standard Cells Without Inter-Cell Margin.
NTUEE 1 Coupling-Constrained Dummy Fill for Density Gradient Minimization Huang-Yu Chen 1, Szu-Jui Chou 2, and Yao-Wen Chang 1 1 National Taiwan University,
Kwangsoo Han, Andrew B. Kahng, Hyein Lee and Lutong Wang
Kwangsoo Han‡, Andrew B. Kahng‡† and Hyein Lee‡
Closing the Smoothness and Uniformity Gap in Area Fill Synthesis Supported by Cadence Design Systems, Inc., NSF, the Packard Foundation, and State of Georgia’s.
 Chemical-Mechanical Polishing (CMP)  Rotating pad polishes each layer on wafers to achieve planarized surfaces  Uneven features cause polishing pad.
Impact of Interconnect Architecture on VPSAs (Via-Programmed Structured ASICs) Usman Ahmed Guy Lemieux Steve Wilton System-on-Chip Lab University of British.
Process Variation Mohammad Sharifkhani. Reading Textbook, Chapter 6 A paper in the reference.
EE 685 presentation Optimization Flow Control, I: Basic Algorithm and Convergence By Steven Low and David Lapsley.
LEMAR: A Novel Length Matching Routing Algorithm for Analog and Mixed Signal Circuits H. Yao, Y. Cai and Q. Gao EDA Lab, Department of CS, Tsinghua University,
Chapter 4: Secs ; Chapter 5: pp
Closing the Smoothness and Uniformity Gap in Area Fill Synthesis Supported by Cadence Design Systems, Inc., NSF, the Packard Foundation, and State of Georgia’s.
-1- UC San Diego / VLSI CAD Laboratory Optimization of Overdrive Signoff Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li and Siddhartha Nath Tuck-Boon Chan,
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
Worst Case Crosstalk Noise for Nonswitching Victims in High-Speed Buses Jun Chen and Lei He.
The Interconnect Delay Bottleneck.
Chapter 4b Process Variation Modeling
Revisiting and Bounding the Benefit From 3D Integration
Performance Optimization Global Routing with RLC Crosstalk Constraints
Is Co-existence Possible?
Puneet Gupta1 , Andrew B. Kahng1 , Youngmin Kim2, Dennis Sylvester2
EE201C Chapter 3 Interconnect RLC Modeling
Simultaneous Buffer Insertion and Wire Sizing Considering Systematic CMP Variation and Random Leff Variation Lei He1, Andrew Kahng2, King Ho Tam1, Jinjun.
Presentation transcript:

Design of Integrated-Circuit Interconnects with Accurate Modeling of Chemical-Mechanical Planarization Lei He, Andrew B. Kahng*, Kingho Tam, Jinjun Xiong EE Department, University of California, Los Angeles *ECE Department, University of California, San Diego SPIE-2005, San Jose, March 3, 2004

CMP and Fill  Dishing and erosion  require dummy fill insertion for metal density and CMP uniformity

Fill Design Rules  Lower and upper bounds on fill dimensions  Minimum fill spacing rules –Between fills –Between fill and functional feature  Crude “coverage” bounds (e.g., between 30-70% density) –Saddle point of weak filling rules and weak filling tools

Fill Pattern  Fill pattern inserted between “active” interconnects –Blue: active interconnect –Gray: dummy fill  Subset of potential fill patterns: –Rectangular shapes –Isothetic (aligned with axes)  Characterized by: –Number of rows (M=5) –Number of columns (N=3) –Series of widths (W) –Series of lengths (L) –Series of horizontal spacings (Sx) –Series of vertical spacings (Sy)

Fixed-Dissection Fill Synthesis  Fixed set of w  w windows, each partitioned into r 2 tiles –n  n layout has nr/w  nr/w overlapping fixed dissections  Find the amount of fill within each tile such as to: –Minimize window density variation [Kahng et. al., TCAD’02] –Minimize total amount of added fill [Wong et. al., DAC’00] w/r Overlapping windows w n tile

How Are They Related?  Local Metal Density –Proportion of area occupied by fill between active interconnects  Effective Metal Density –Proportion of area occupied by metal features (interconnect + fill) within planarization window (tile)  Linkage –Fixed dissection fill synthesis -> –Amount of metal within each tile -> –Amount of fills between active interconnects within the tile

Performance-Driven Fill (DAC-2003)  Dummy fill increases capacitance, delay, crosstalk –  Insert fill where layout and timing can best tolerate it Full solution: Timing path driven, multi-layer aware This work addresses: How much can the fill pattern matter?

Driving Questions  How much does fill affect coupling and total capacitance?  How much do dishing and erosion affect interconnect performance?  What QOR loss is incurred by CMP-oblivious interconnect design?  Where this is leading: –CMP-aware fill pattern synthesis –CMP-aware fill and interconnect synthesis –CMP-and fill-aware routing –  CMP modeling drives performance analysis, layout signoff

Outline  Introduction and study goals  Impact of fill insertion and fill patterns  Impact of dishing/erosion on RC parasitics  Impact on interconnect design  Conclusions  Note: This talk = outline of methodology and analysis framework to drive full-chip place/route

Fill Pattern Concerns  How much can fill patterns affect interconnect cap?  What is the range of capacitance impact across “equivalent” fill patterns? –“Equivalence” is with respect to multi-layer CMP modeling, per-feature defocus budgeting, etc.

Distribution Characteristic Function  Given a total budget (e.g., width, length, spacing), distribute the budget to a given series (e.g., widths) via a Distribution Characteristic Function –Uniform –Linear increasing –Linear decreasing –Convex triangular

DCF for Fill Pattern Exploration  Different DCF combinations for width, length, and spacing series result in different fill patterns  Facilitates systematic exploration of wide range of fill patterns –Enumeration is infeasible –Runtime and flexibility of capacitance extraction are another limit

Simulation Experiments: Setup  Interconnect models: Stripline (G-M-G)  Global interconnects at 65nm –Local metal density: 0.1~0.7 –Spacing (s) = (3-10) x minimum spacing (0.24um) –Width (w) = minimum width (0.24um) –Length (l) = 1000um –Metal thickness (0.50um) –ILD thickness (0.45um)  Three types of DCF for fill pattern exploration –Uniform –Linear increasing –Linear decreasing  All fills are floating  QuickCap employed for capacitance extraction

Distribution of Coupling Capacitance  Local metal density = 0.3  Blue: nominal Cc without fill insertion  Red: Cc with different fill patterns (min – mean – max)

Distribution of Coupling Capacitance  For each interconnect configuration –Different fill patterns-> different Cc –Fill always increases Cc: 25%-300% –Metal spacing increases ->the relative change of Cc increases Under same local metal density –Local metal density increases-> more significant increasing of Cc

Distribution of Total Capacitance  Similar observations hold for Cs  Relative change of Cs is less dramatic than that of Cc  Still, more than 10% relative change compared to the nominal case

Coupling Cap V.S. Total Cap  Local metal densities: 0.1 ~ 0.7  Minimum (blue) or maximum (red) Cc over Cs among all fill patterns studied.  Nominal Cc/Cs is shown in the title

Coupling Cap V.S. Total Cap  Fill always increases Cc/Cs –The gap (maximum – minimum) = potential variation due to fill insertion  Metal spacing increases -> Cc/Cs is also increasing  Local metal density increases -> Cc/Cs is also increasing  However: Cc/Cs < 20% in our studies

Mini-Conclusion on Fill Insertion and Fill Pattern  Fill insertion can dramatically increase C c and C s over their respective nominal values –Cc 25%~300%, Cs ~10%  Cc and Cs varies significantly across different fill patterns –Relative change is more prominent for Cc than for Cs  Therefore, to obtain robust designs that will meet requirements (e.g., delay and parametric yield) after fill insertion, the variation (increase) of both Cc and Cs must be considered by the design flow.

Outline  Introduction and study goals  Impact of fill insertion and fill patterns  Impact of dishing/erosion on RC parasitics  Impact on interconnect design  Conclusions

Multi-step CMP Process Modeling  Three steps = three pads CMP process –Step 1: eliminates all local step heights, and irrelevant to the modeling of dishing and erosion. –Step 2: removes copper above trench, no dishing and erosion at the moment when pad reaches the barrier –Step 3: simultaneous oxide/copper polishing –Details see [Gbondo-Tugbawa Ph.D. Thesis 2002]

Step 2: After Pad Reaches Barrier  Dishing (d) and Erosion (E) –Process-dependent constants are taken from [Gbondo-Tugbawa Ph.D. Thesis 2002]

Step 3: Simultaneous Oxide/Copper Polishing  Much Complicated –Details see the paper –Or [Gbondo-Tugbawa Ph.D. Thesis 2002]

Impact on Global Interconnect Resistance  R f due to dishing/erosion is large: 28.7%~31.7% –Reduced cross-section  As width (w) grows, variation also increases  Spacing has little impact, as effective metal density is enforced Width w (μm) Spacing (μm) Nominal R o (kΩ) Real R f (kΩ) (+28.7%) (+30.6%) (+31.4%) (+28.8%) (+30.9%) (+31.7%)

Impact on Global Interconnect Capacitance  Three scenarios: –S1: Interconnect with nominal value –S2: Interconnect affected by dishing/erosion, WITHOUT fill insertion –S3: Interconnect affected by dishing/erosion, WITH fill insertion  Dishing and erosion have comparatively smaller impact on capacitance  The fact of fill insertion itself has much larger impact on capacitance WS S1: NO CMP S2: Dishing/Erosion S3: Fill+Dishing/Erosion CcCsCcCsCcCs (-2.63%) (-0.33%) 9.30 (33.06%) (-0.11%) (-3.78%) (-0.19%) 9.14 (26.33%) (-1.35%) (2.97%) (0.68%) 8.87 (26.51%) (-0.23%)

Mini-Conclusion on Dishing/Erosion Impact  Dishing and erosion significantly increase interconnect resistance  Dishing and erosion impact on capacitance is ignorable –Is this really the case? –Any such assessment is design- and methodology- dependent  Fill insertion has much larger impact than dishing/erosion on capacitance

Outline  Introduction and study goals  Impact of fill insertion and fill patterns  Impact of dishing/erosion on RC parasitics  Impact on interconnect design  Conclusions

CMP-aware RC Model  Tabulate the extracted capacitance –(active interconnect width, spacing, local metal density)  Capacitance table only saves the capacitance under the best (worst) fill pattern –Best = minimum Cc –Worst = maximum Cc  Resistance calculated from multi-step CMP model  CMP-aware RC Model –Fill insertion + Dishing & Erosion  CMP-oblivious RC Model –Nominal geometry only

Interconnect Design Concerns  How do CMP effects change conventional CMP-oblivious interconnect design ?  How do we take CMP effects into account for a better CMP- aware design flow?

Experiment Setup  Interconnect design for WIDE parallel bus –Four parallel, capacitively-coupled wires –Minimum # of elements, yet captures the “worst" case coupling effects  Goal: minimize “unit length delay” (D L ) –Vary buffer size (S) and interconnect length (L) between buffers

Experiment Results Under Best-Fill  CMP-oblivious design –Post “best-fill” insertion –Best “possible” practice for FAIR comparison  CMP-aware designs always result in smaller unit length delay –Relative improvement up to 3.3% –Improvement decreases as effective metal density increases Diminishing amount of erosion -> Reduced resistance  Buffer area measured by S/L –CMP-aware design increases S/L by 14.8% Local Den. Eff. Den CMP-obliviousCMP-aware LSDLSS/L%DD%

Experiment Results Under Worst-Fill  Post worst-fill insertion: CMP-aware designs still result in smaller unit length delay –Relative improvement up to 3.5%  Post best-fill insertion: CMP-aware design not necessary better  Therefore, no single design that is CMP-variation optimal –Design for specific fill pattern in order to attain optimality Local Den. Eff. Den CMP-obliviousCMP-aware LSDLSS/L%DD% Verified under post worst-fill insertion Verified under post best-fill insertion

Outline  Introduction and study goals  Impact of fill insertion and fill patterns  Impact of dishing/erosion on RC parasitics  Impact on interconnect design  Conclusions

Conclusions  Dummy fill can cause very large coupling capacitance variation w.r.t. nominal  Dishing and erosion cause substantial resistance increase, but have limited impact on coupling  CMP-aware design can improve design quality –Improve unit length delay by 3.3% under best-fill  Ongoing directions –Integration of multi-layer CMP modeling into flow –CMP-aware fill pattern synthesis, then single- interconnect wire and buffer sizing, then full routing –Study the impact from more sources of variations on interconnect performance and design