DPIMM-03 1 Performance-Impact Limited Area Fill Synthesis Yu Chen, Puneet Gupta, Andrew B. Kahng (UCLA, UCSD) Supported by Cadence.

Slides:



Advertisements
Similar presentations
Hierarchical Dummy Fill for Process Uniformity Supported by Cadence Design Systems, Inc. NSF, and the Packard Foundation Y. Chen, A. B. Kahng, G. Robins,
Advertisements

Chapter 4 Partition I. Covering and Dominating.
ECE 667 Synthesis and Verification of Digital Circuits
Design Rule Generation for Interconnect Matching Andrew B. Kahng and Rasit Onur Topaloglu {abk | rtopalog University of California, San Diego.
Advanced Interconnect Optimizations. Buffers Improve Slack RAT = 300 Delay = 350 Slack = -50 RAT = 700 Delay = 600 Slack = 100 RAT = 300 Delay = 250 Slack.
EE141 © Digital Integrated Circuits 2nd Wires 1 The Wires Dr. Shiyan Hu Office: EERC 731 Adapted and modified from Digital Integrated Circuits: A Design.
DPIMM-II 2003 UCSD VLSI CAD LAB Compression Schemes for "Dummy Fill" VLSI Layout Data Robert Ellis, Andrew B. Kahng and Yuhong Zheng ( Texas A&M University.
Native-Conflict-Aware Wire Perturbation for Double Patterning Technology Szu-Yu Chen, Yao-Wen Chang ICCAD 2010.
4/22/ Clock Network Synthesis Prof. Shiyan Hu Office: EREC 731.
ELEN 468 Lecture 261 ELEN 468 Advanced Logic Design Lecture 26 Interconnect Timing Optimization.
1 Modeling and Optimization of VLSI Interconnect Lecture 9: Multi-net optimization Avinoam Kolodny Konstantin Moiseev.
Dummy Feature Placement for Chemical- mechanical Polishing Uniformity in a Shallow Trench Isolation Process Ruiqi Tian 1,2, Xiaoping Tang 1, D. F. Wong.
1 Interconnect Layout Optimization by Simultaneous Steiner Tree Construction and Buffer Insertion Presented By Cesare Ferri Takumi Okamoto, Jason Kong.
Coupling-Aware Length-Ratio- Matching Routing for Capacitor Arrays in Analog Integrated Circuits Kuan-Hsien Ho, Hung-Chih Ou, Yao-Wen Chang and Hui-Fang.
1 On Convergence of Switching Windows Computation in Presence of Crosstalk Noise Pinhong Chen* +, Yuji Kukimoto +, Chin-Chi Teng +, Kurt Keutzer* *Dept.
Rajat K. Pal. Chapter 3 Emran Chowdhury # P Presented by.
Minimum-Buffered Routing of Non- Critical Nets for Slew Rate and Reliability Control Supported by Cadence Design Systems, Inc. and the MARCO Gigascale.
Monte-Carlo Methods for Chemical-Mechanical Planarization on Multiple-Layer and Dual-Material Models Supported by Cadence Design Systems, Inc., NSF, the.
Power-Aware Placement
Performance-Impact Limited Area Fill Synthesis
Fill for Shallow Trench Isolation CMP
Study of Floating Fill Impact on Interconnect Capacitance Andrew B. Kahng Kambiz Samadi Puneet Sharma CSE and ECE Departments University of California,
On Legalization of Row-Based Placements Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La Jolla, CA 92093
Practical Iterated Fill Synthesis for CMP Uniformity Supported by Cadence Design Systems, Inc. Y. Chen, A. B. Kahng, G. Robins, A. Zelikovsky (UCLA, UVA.
Measurement of Inherent Noise in EDA Tools Andrew B. Kahng* and Stefanus Mantik * UCSD CSE and ECE Departments, La Jolla, CA UCLA CS Department, Los Angeles,
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 5: February 2, 2009 Architecture Synthesis (Provisioning, Allocation)
Lei He, Andrew B. Kahng*, Kingho Tam, Jinjun Xiong
A Proposal for Routing-Based Timing-Driven Scan Chain Ordering Puneet Gupta 1 Andrew B. Kahng 1 Stefanus Mantik 2
Detailed Placement for Leakage Reduction Using Systematic Through-Pitch Variation Andrew B. Kahng †‡ Swamy Muddu ‡ Puneet Sharma ‡ CSE † and ECE ‡ Departments,
Ryan Kastner ASIC/SOC, September Coupling Aware Routing Ryan Kastner, Elaheh Bozorgzadeh and Majid Sarrafzadeh Department of Electrical and Computer.
ELEN 468 Lecture 271 ELEN 468 Advanced Logic Design Lecture 27 Interconnect Timing Optimization II.
Topography-Aware OPC for Better DOF margin and CD control Puneet Gupta*, Andrew B. Kahng*†‡, Chul-Hong Park†, Kambiz Samadi†, and Xu Xu‡ * Blaze-DFM Inc.
Design of Integrated-Circuit Interconnects with Accurate Modeling of Chemical-Mechanical Planarization Lei He, Andrew B. Kahng*, Kingho Tam, Jinjun Xiong.
Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing Puneet Gupta 1 Andrew B. Kahng 1,2,3 O.S. Nakagawa 1 Kambiz.
Design of Integrated-Circuit Interconnects with Accurate Modeling of Chemical-Mechanical Planarization Lei He, Andrew B. Kahng* #, Kingho Tam, Jinjun Xiong.
1 Area Fill Generation With Inherent Data Volume Reduction Yu Chen, Andrew B. Kahng, Gabriel Robins, Alexander Zelikovsky and Yuhong Zheng (UCLA, UCSD,
UC San Diego Computer Engineering. VLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD.
SLIP 2000April 9, Wiring Layer Assignments with Consistent Stage Delays Andrew B. Kahng (UCLA) Dirk Stroobandt (Ghent University) Supported.
Hierarchical Dummy Fill for Process Uniformity Supported by Cadence Design Systems, Inc. Y. Chen, A. B. Kahng, G. Robins, A. Zelikovsky (UCLA, UCSD, UVA.
RLC Interconnect Modeling and Design Students: Jinjun Xiong, Jun Chen Advisor: Lei He Electrical Engineering Department Design Automation Group (
7/14/ Design for Manufacturability Prof. Shiyan Hu Office: EERC 731.
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 5: February 2, 2009 Architecture Synthesis (Provisioning, Allocation)
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Hierarchical Dummy Fill for Process Uniformity Supported by Cadence Design Systems, Inc. NSF, and the Packard Foundation Y. Chen, A. B. Kahng, G. Robins,
Chip Planning 1. Introduction Chip Planning:  Deals with large modules with −known areas −fixed/changeable shapes −(possibly fixed locations for some.
1 ENTITY test is port a: in bit; end ENTITY test; DRC LVS ERC Circuit Design Functional Design and Logic Design Physical Design Physical Verification and.
A Topology-based ECO Routing Methodology for Mask Cost Minimization Po-Hsun Wu, Shang-Ya Bai, and Tsung-Yi Ho Department of Computer Science and Information.
Global Routing.
1 Coupling Aware Timing Optimization and Antenna Avoidance in Layer Assignment Di Wu, Jiang Hu and Rabi Mahapatra Texas A&M University.
K.Yuan, J.Yang and D.Pan ECE Dept. Univ. of Texas at Austin
An Efficient Clustering Algorithm For Low Power Clock Tree Synthesis Rupesh S. Shelar Enterprise Microprocessor Group Intel Corporation, Hillsboro, OR.
New Modeling Techniques for the Global Routing Problem Anthony Vannelli Department of Electrical and Computer Engineering University of Waterloo Waterloo,
NTUEE 1 Coupling-Constrained Dummy Fill for Density Gradient Minimization Huang-Yu Chen 1, Szu-Jui Chou 2, and Yao-Wen Chang 1 1 National Taiwan University,
Thermal-aware Steiner Routing for 3D Stacked ICs M. Pathak and S.K. Lim Georgia Institute of Technology ICCAD 07.
Kwangsoo Han, Andrew B. Kahng, Hyein Lee and Lutong Wang
EE141 © Digital Integrated Circuits 2nd Wires 1 Digital Integrated Circuits A Design Perspective The Interconnect Jan M. Rabaey Anantha Chandrakasan Borivoje.
Closing the Smoothness and Uniformity Gap in Area Fill Synthesis Supported by Cadence Design Systems, Inc., NSF, the Packard Foundation, and State of Georgia’s.
 Chemical-Mechanical Polishing (CMP)  Rotating pad polishes each layer on wafers to achieve planarized surfaces  Uneven features cause polishing pad.
A Faster Approximation Scheme for Timing Driven Minimum Cost Layer Assignment Shiyan Hu*, Zhuo Li**, and Charles J. Alpert** *Dept of ECE, Michigan Technological.
2D Output Primitives Points Lines Circles Ellipses Other curves Filling areas Text Patterns Polymarkers.
Peng Du, Wenbo Zhao, Shih-Hung Weng, Chung-Kuan Cheng, Ronald Graham CSE Dept., University of California, San Diego, CA Character Design and Stamp Algorithms.
Routing Tree Construction with Buffer Insertion under Obstacle Constraints Ying Rao, Tianxiang Yang Fall 2002.
LEMAR: A Novel Length Matching Routing Algorithm for Analog and Mixed Signal Circuits H. Yao, Y. Cai and Q. Gao EDA Lab, Department of CS, Tsinghua University,
Closing the Smoothness and Uniformity Gap in Area Fill Synthesis Supported by Cadence Design Systems, Inc., NSF, the Packard Foundation, and State of Georgia’s.
Inductance Screening and Inductance Matrix Sparsification 1.
An Exact Algorithm for Difficult Detailed Routing Problems Kolja Sulimma Wolfgang Kunz J. W.-Goethe Universität Frankfurt.
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
Character Design and Stamp Algorithms for Character Projection Electron-Beam Lithography P. Du, W. Zhao, S.H. Weng, C.K. Cheng, and R. Graham UC San Diego.
Topics Driving long wires..
Closing the Smoothness and Uniformity Gap in Area Fill Synthesis
Presentation transcript:

DPIMM-03 1 Performance-Impact Limited Area Fill Synthesis Yu Chen, Puneet Gupta, Andrew B. Kahng (UCLA, UCSD) Supported by Cadence Design Systems, Inc., NSF

DPIMM-03 2 Outline  Chemical Mechanical Planarization and Area Fill  Performance-Impact Limited (PIL) Fill Problem  Slack Site Column and Scan-Line Algorithm  Linear Programming Approaches  Greedy Method  Computational Experiences  Conclusion and Future Works

DPIMM-03 3 CMP & Area Fill wafer carrier silicon wafer polishing pad polishing table slurry feeder slurry  Chemical-Mechanical Planarization (CMP) l Polishing pad wear, slurry composition, pad elasticity make this a very difficult process step  Area fill feature insertion Decreases local density variation Decreases the ILD thickness variation after CMP Post-CMP ILD thickness Features Area fill features

DPIMM-03 4 Fixed-Dissection Regime  To make filling more tractable, monitor only fixed set of w  w windows l offset = w/r (example shown: w = 4, r = 4)  Partition n x n layout into nr/w  nr/w fixed dissections  Each w  w window is partitioned into r 2 tiles w/r Overlapping windows w n tile

DPIMM-03 5 Previous Objectives of Density Control  Objective for Manufacture = Min-Var minimize window density variation subject to upper bound on window density  Objective for Design = Min-Fill minimize total amount of added fill features subject to upper bound on window density variation

DPIMM-03 6 Performance-Impact Limited Area Fill  Why? l Fill features insertion to reduce layout density variation change coupling capacitance change interconnect signal delay and crosstalk l No consideration on performance-impact during fill synthesis in current fill methods  Problem Formulation l Two objectives -minimizing layout density variation; and -minimizing fill features’ impact on circuit performance objective + constraints l Minimum Delay with Fill Constraint problem Given a fixed-dissection routed layout and the design rule for floating square fill features, insert a prescribed amount of fill in each tile such that the performance impact is minimized

DPIMM-03 7 Capacitance and Delay Models  Elmore Delay Model l First moment of impulse response for a distributed RC interconnect l Enjoys additivity property with respect to capacitance along any source-sink path  Interconnect capacitance consists of l Overlap Cap. : surface overlap of two conductors l Coupling Cap. : two parallel conductors on the same place l Fringe Cap. : between two conductors on different places  Mainly consider fill impact on coupling capacitance

DPIMM-03 8 Slack Site Column  Grid layout with fill feature size into sites  Slack Site Column: column of available sites for fill features between active lines l Per-unit capacitance between two active lines separated by distance d, with m fill features in one column: top view buffer distance fill grid pitch slack site column Active lines w

DPIMM-03 9 Slack Site Column Definition I B A Active lines Tile  Slack site columns between active lines within tile  Drawback: l too much slack space cannot be used for fill insertion only 2 type-I slack blocks

DPIMM Slack Site Column Definition II B A CD E F Active lines Tile  Slack site columns between active lines within tile and tile boundaries  Drawback: l insert fill features into slack columns without consideration of associated active lines (e.g., block B) l inaccurate estimation of delay impact 6 type-II slack blocks

DPIMM Slack Site Column Definition III  Slack site columns between boundaries and active lines in adjacent tiles  Advantage l Possible impact of fill feature at any position can be considered  Scan-line algorithm: Scan whole layout from bottom (left) boundary for horizontal (vertical) routing direction BAC DE G F Active lines 7 type-III slack blocks

DPIMM ILP Approaches I  Based on linear approximation for coupling cap. due to fill features  Objective: minimize weighted incremental delay due to fill features Minimize: : num of downstream sinks of segment  Constraints: for all overlapping columns # covered slack sites = # fill features for each column Incremental cap. due to features in each column for each segment Total Elmore delay increment # covered slack sites  column size

DPIMM ILP Approaches II  Based on pre-built lookup table for coupling capacitance calculation l Fill features have the same shape l Potential num of fill features in each column is limited l Other parameters are constant for the whole layout  Calculate coupling capacitance based on LUT Binary: for each column integer:

DPIMM Greedy Method for PIL Fill  Run standard fill synthesis to get #FillFeatures for each tile  For each net Do l Find intersection with each tile l Calculate entry resistances of net in its intersecting tiles  Get slack columns by scan-line algorithm  For each tile Do l For each slack column Do -calculate induced coupling capacitance of slack column l Sort all slack columns according to its corresponding delay l While #FillFeatures > 0 Do -select slack column with minimum corresponding delay -insert features into the slack column

DPIMM Computational Experience PIL-Fill methods can reduce total delay increase by up to 90% LUT based ILP has best performance but longest runtime

DPIMM Conclusions and Future Research  Contributions: l Approximation for performance impact due to area fill insertion l First formulation for Performance-Impact Limited Fill problem l Integer Linear Programming based approaches l Greedy method  Future Works l PIL Fill with given capacitance budgets for each net l Other PIL Fill formulations -Min density variation while obeying upper bound on timing impact

DPIMM Thank You!