CS 152 Computer Architecture and Engineering Lecture 12 - Complex Pipelines Krste Asanovic Electrical Engineering and Computer Sciences University of California.

Slides:



Advertisements
Similar presentations
Complex Pipelining Krste Asanovic Laboratory for Computer Science Massachusetts Institute of Technology Asanovic/Devadas Spring
Advertisements

© Krste Asanovic, 2014CS252, Spring 2014, Lecture 5 CS252 Graduate Computer Architecture Spring 2014 Lecture 5: Out-of-Order Processing Krste Asanovic.
A scheme to overcome data hazards
2/28/2013 CS152, Spring 2013 CS 152 Computer Architecture and Engineering Lecture 11 - Out-of-Order Issue, Register Renaming, & Branch Prediction Krste.
Dynamic ILP: Scoreboard Professor Alvin R. Lebeck Computer Science 220 / ECE 252 Fall 2008.
ECE 552 / CPS 550 Advanced Computer Architecture I Lecture 8 Instruction-Level Parallelism – Part 1 Benjamin Lee Electrical and Computer Engineering Duke.
Lecture 6: ILP HW Case Study— CDC 6600 Scoreboard & Tomasulo’s Algorithm Professor Alvin R. Lebeck Computer Science 220 Fall 2001.
COMP25212 Advanced Pipelining Out of Order Processors.
CSE 490/590, Spring 2011 CSE 490/590 Computer Architecture Complex Pipelining II Steve Ko Computer Sciences and Engineering University at Buffalo.
CMSC 611: Advanced Computer Architecture Scoreboard Some material adapted from Mohamed Younis, UMBC CMSC 611 Spr 2003 course slides Some material adapted.
February 28, 2011CS152, Spring 2011 CS 152 Computer Architecture and Engineering Lecture 10 - Complex Pipelines, Out-of-Order Issue, Register Renaming.
Microprocessor Microarchitecture Dependency and OOO Execution Lynn Choi Dept. Of Computer and Electronics Engineering.
Lecture 6: Pipelining MIPS R4000 and More Kai Bu
Instruction-Level Parallelism (ILP)
CSE 490/590, Spring 2011 CSE 490/590 Computer Architecture ILP II Steve Ko Computer Sciences and Engineering University at Buffalo.
February 28, 2012CS152, Spring 2012 CS 152 Computer Architecture and Engineering Lecture 11 - Out-of-Order Issue, Register Renaming, & Branch Prediction.
1 IF IDEX MEM L.D F4,0(R2) MUL.D F0, F4, F6 ADD.D F2, F0, F8 L.D F2, 0(R2) WB IF IDM1 MEM WBM2M3M4M5M6M7 stall.
CS 152 Computer Architecture and Engineering Lecture 13 - Out-of-Order Issue, Register Renaming, & Branch Prediction Krste Asanovic Electrical Engineering.
CSE 490/590, Spring 2011 CSE 490/590 Computer Architecture Complex Pipelining I Steve Ko Computer Sciences and Engineering University at Buffalo.
CSE 490/590, Spring 2011 CSE 490/590 Computer Architecture Pipelining III Steve Ko Computer Sciences and Engineering University at Buffalo.
CSE 490/590, Spring 2011 CSE 490/590 Computer Architecture Virtual Memory I Steve Ko Computer Sciences and Engineering University at Buffalo.
CSE 490/590, Spring 2011 CSE 490/590 Computer Architecture ILP I Steve Ko Computer Sciences and Engineering University at Buffalo.
March 2, 2010CS152, Spring 2010 CS 152 Computer Architecture and Engineering Lecture 12 - Complex Pipelines Krste Asanovic Electrical Engineering and Computer.
CS 152 Computer Architecture and Engineering Lecture 15 - Advanced Superscalars Krste Asanovic Electrical Engineering and Computer Sciences University.
March 4, 2010CS152, Spring 2010 CS 152 Computer Architecture and Engineering Lecture 13 - Out-of-Order Issue, Register Renaming, & Branch Prediction Krste.
CS 152 Computer Architecture and Engineering Lecture 12 - Complex Pipelines Krste Asanovic Electrical Engineering and Computer Sciences University of California.
CS 152 Computer Architecture and Engineering Lecture 13 - Out-of-Order Issue and Register Renaming Krste Asanovic Electrical Engineering and Computer Sciences.
March 9, 2011CS152, Spring 2011 CS 152 Computer Architecture and Engineering Lecture 12 - Advanced Out-of-Order Superscalars Krste Asanovic Electrical.
1 IBM System 360. Common architecture for a set of machines. Robert Tomasulo worked on a high-end machine, the Model 91 (1967), on which they implemented.
COMP381 by M. Hamdi 1 Pipelining (Dynamic Scheduling Through Hardware Schemes)
1 Recap (Scoreboarding). 2 Dynamic Scheduling Dynamic Scheduling by Hardware – – Allow Out-of-order execution, Out-of-order completion – – Even though.
1 COMP 206: Computer Architecture and Implementation Montek Singh Wed, Oct 5, 2005 Topic: Instruction-Level Parallelism (Dynamic Scheduling: Scoreboarding)
CS 252 Graduate Computer Architecture Lecture 4: Instruction-Level Parallelism Krste Asanovic Electrical Engineering and Computer Sciences University of.
EENG449b/Savvides Lec 5.1 1/27/04 January 27, 2004 Prof. Andreas Savvides Spring EENG 449bG/CPSC 439bG Computer.
Nov. 9, Lecture 6: Dynamic Scheduling with Scoreboarding and Tomasulo Algorithm (Section 2.4)
1 Sixth Lecture: Chapter 3: CISC Processors (Tomasulo Scheduling and IBM System 360/91) Please recall:  Multicycle instructions lead to the requirement.
ECE 552 / CPS 550 Advanced Computer Architecture I Lecture 9 Instruction-Level Parallelism – Part 2 Benjamin Lee Electrical and Computer Engineering Duke.
1 Lecture 5 Overview of Superscalar Techniques CprE 581 Computer Systems Architecture, Fall 2009 Zhao Zhang Reading: Textbook, Ch. 2.1 “Complexity-Effective.
1 Lecture 6 Tomasulo Algorithm CprE 581 Computer Systems Architecture, Fall 2009 Zhao Zhang Reading:Textbook 2.4, 2.5.
CS 152 Computer Architecture and Engineering Lecture 15 - Out-of-Order Memory, Complex Superscalars Review Krste Asanovic Electrical Engineering and Computer.
1 Lecture 5: Dependence Analysis and Superscalar Techniques Overview Instruction dependences, correctness, inst scheduling examples, renaming, speculation,
1 Images from Patterson-Hennessy Book Machines that introduced pipelining and instruction-level parallelism. Clockwise from top: IBM Stretch, IBM 360/91,
CSC 4250 Computer Architectures September 29, 2006 Appendix A. Pipelining.
Jan. 5, 2000Systems Architecture II1 Machine Organization (CS 570) Lecture 1: Overview of High Performance Processors * Jeremy R. Johnson Wed. Sept. 27,
04/03/2016 slide 1 Dynamic instruction scheduling Key idea: allow subsequent independent instructions to proceed DIVDF0,F2,F4; takes long time ADDDF10,F0,F8;
Dataflow Order Execution  Use data copying and/or hardware register renaming to eliminate WAR and WAW ­register name refers to a temporary value produced.
High Performance Computing1 High Performance Computing (CS 680) Lecture 2a: Overview of High Performance Processors * Jeremy R. Johnson *This lecture was.
© Krste Asanovic, 2015CS252, Fall 2015, Lecture 6 CS252 Graduate Computer Architecture Fall 2015 Lecture 6: Out-of-Order Processors Krste Asanovic
March 1, 2012CS152, Spring 2012 CS 152 Computer Architecture and Engineering Lecture 12 - Advanced Out-of-Order Superscalars Krste Asanovic Electrical.
COMP25212 Advanced Pipelining Out of Order Processors.
CSE431 L13 SS Execute & Commit.1Irwin, PSU, 2005 CSE 431 Computer Architecture Fall 2005 Lecture 13: SS Backend (Execute, Writeback & Commit) Mary Jane.
IBM System 360. Common architecture for a set of machines
CS 152 Computer Architecture and Engineering Lecture 10 - Complex Pipelines, Out-of-Order Issue, Register Renaming John Wawrzynek Electrical Engineering.
CS252 Graduate Computer Architecture Fall 2015 Lecture 5: Out-of-Order Processing Krste Asanovic
CS 152 Computer Architecture and Engineering Lecture 11 - Out-of-Order Issue, Register Renaming, & Branch Prediction John Wawrzynek Electrical Engineering.
Lecture 10 - Complex Pipelines, Out-of-Order Issue, Register Renaming
/ Computer Architecture and Design
Out of Order Processors
Step by step for Tomasulo Scheme
CS203 – Advanced Computer Architecture
Microprocessor Microarchitecture Dynamic Pipeline
CMSC 611: Advanced Computer Architecture
Out of Order Processors
Lecture 8: ILP and Speculation Contd. Chapter 2, Sections 2. 6, 2
Electrical and Computer Engineering
Krste Asanovic Electrical Engineering and Computer Sciences
CS 704 Advanced Computer Architecture
Krste Asanovic Electrical Engineering and Computer Sciences
Krste Asanovic Electrical Engineering and Computer Sciences
CS 152 Computer Architecture and Engineering CS252 Graduate Computer Architecture Lecture 4 – Pipelining Part II Krste Asanovic Electrical Engineering.
Presentation transcript:

CS 152 Computer Architecture and Engineering Lecture 12 - Complex Pipelines Krste Asanovic Electrical Engineering and Computer Sciences University of California at Berkeley

3/10/2009CS152-Spring’09 2 Last time in Lecture 11 Modern page-based virtual memory systems provide: –Translation, Protection, Virtual memory. Translation and protection information stored in page tables, held in main memory Translation and protection information cached in “translation lookaside buffer” (TLB) to provide single cycle translation+protection check in common case VM interacts with cache design –Physical cache tags require address translation before tag lookup, or use untranslated offset bits to index cache. –Virtual tags do not require translation before cache hit/miss determination, but need to be flushed or extended with ASID to cope with context swaps. Also, must deal with virtual address aliases (usually by disallowing copies in cache).

3/10/2009CS152-Spring’09 3 Complex Pipelining: Motivation Pipelining becomes complex when we want high performance in the presence of: Long latency or partially pipelined floating- point units Memory systems with variable access time Multiple arithmetic and memory units

3/10/2009CS152-Spring’09 4 Floating-Point Unit (FPU) Much more hardware than an integer unit Single-cycle FPU is a bad idea - why? it is common to have several FPU’s it is common to have different types of FPU’s Fadd, Fmul, Fdiv,... an FPU may be pipelined, partially pipelined or not pipelined To operate several FPU’s concurrently the FP register file needs to have more read and write ports

3/10/2009CS152-Spring’09 5 Functional Unit Characteristics fully pipelined partially pipelined Functional units have internal pipeline registers  operands are latched when an instruction enters a functional unit  inputs to a functional unit (e.g., register file) can change during a long latency operation 1cyc 2 cyc

3/10/2009CS152-Spring’09 6 Floating-Point ISA Interaction between the floating-point datapath and the integer datapath is determined largely by the ISA MIPS ISA separate register files for FP and Integer instructions the only interaction is via a set of move instructions (some ISA’s don’t even permit this) separate load/store for FPR’s and GPR’s but both use GPR’s for address calculation separate conditions for branches FP branches are defined in terms of condition codes

3/10/2009CS152-Spring’09 7 Realistic Memory Systems Latency of access to the main memory is usually much greater than one cycle and often unpredictable Solving this problem is a central issue in computer architecture Common approaches to improving memory performance separate instruction and data memory ports  self-modifying code might need explicit cache flush caches single cycle except in case of a miss stall interleaved memory multiple memory accesses  bank conflicts split-phase memory operations  out-of-order responses

3/10/2009CS152-Spring’09 8 Multiple Functional Units in Pipeline IF ID WB ALUMem Fadd Fmul Fdiv Issue GPR’s FPR’s

3/10/2009CS152-Spring’09 9 Complex Pipeline Control Issues Structural conflicts at the execution stage if some FPU or memory unit is not pipelined and takes more than one cycle Structural conflicts at the write-back stage due to variable latencies of different functional units Out-of-order write hazards due to variable latencies of different functional units How to handle exceptions?

3/10/2009CS152-Spring’09 10 Complex In-Order Pipeline Delay writeback so all operations have same latency to W stage –Write ports never oversubscribed (one inst. in & one inst. out every cycle) –Stall pipeline on long latency operations, e.g., divides, cache misses –Handle exceptions in-order at commit point Commit Point PC Inst. Mem D Decode X1X2 Data Mem W + GPRs X2W FAdd X3 FPRs X1 X2 FMul X3 X2 FDiv X3 Unpipelined divider How to prevent increased writeback latency from slowing down single cycle integer operations? Bypassin g

3/10/2009CS152-Spring’09 11 In-Order Superscalar Pipeline Fetch two instructions per cycle; issue both simultaneously if one is integer/memory and other is floating point Inexpensive way of increasing throughput, examples include Alpha (1992) & MIPS R5000 series (1996) Same idea can be extended to wider issue by duplicating functional units (e.g. 4-issue UltraSPARC) but regfile ports and bypassing costs grow quickly Commit Point 2 PC Inst. Mem D Dual Decode X1X2 Data Mem W + GPRs X2W FAdd X3 FPRs X1 X2 FMul X3 X2 FDiv X3 Unpipelined divider

3/10/2009CS152-Spring’09 12 Types of Data Hazards Consider executing a sequence of r k r i op r j type of instructions Data-dependence r 3  r 1 op r 2 Read-after-Write r 5  r 3 op r 4 (RAW) hazard Anti-dependence r 3  r 1 op r 2 Write-after-Read r 1  r 4 op r 5 (WAR) hazard Output-dependence r 3  r 1 op r 2 Write-after-Write r 3  r 6 op r 7 (WAW) hazard

3/10/2009CS152-Spring’09 13 Register vs. Memory Dependence Data hazards due to register operands can be determined at the decode stage but data hazards due to memory operands can be determined only after computing the effective address storeM[r 1 + disp1]  r 2 loadr 3  M[r 4 + disp2] Does (r 1 + disp1) = (r 4 + disp2) ?

3/10/2009CS152-Spring’09 14 Data Hazards: An Example I 1 DIVDf6, f6,f4 I 2 LDf2,45(r3) I 3 MULTDf0,f2,f4 I 4 DIVDf8,f6,f2 I 5 SUBDf10,f0,f6 I 6 ADDDf6,f8,f2 RAW Hazards WAR Hazards WAW Hazards

3/10/2009CS152-Spring’09 15 Instruction Scheduling I6I6 I2I2 I4I4 I1I1 I5I5 I3I3 Valid orderings: in-orderI 1 I 2 I 3 I 4 I 5 I 6 out-of-order I 1 DIVDf6, f6,f4 I 2 LDf2,45(r3) I 3 MULTDf0,f2,f4 I 4 DIVDf8,f6,f2 I 5 SUBDf10,f0,f6 I 6 ADDDf6,f8,f2 I2 I1 I3 I4 I5I6I2 I1 I3 I4 I5I6 I1 I2I3 I5 I4I6I1 I2I3 I5 I4I6

3/10/2009CS152-Spring’09 16 Out-of-order Completion In-order Issue Latency I 1 DIVDf6, f6,f4 4 I 2 LDf2,45(r3)1 I 3 MULTDf0,f2,f43 I 4 DIVDf8,f6,f24 I 5 SUBDf10,f0,f61 I 6 ADDDf6,f8,f21 in-order comp1 2 out-of-order comp

3/10/2009CS152-Spring’09 17 CDC 6600 Seymour Cray, 1963 A fast pipelined machine with 60-bit words –128 Kword main memory capacity, 32 banks Ten functional units (parallel, unpipelined) –Floating Point: adder, 2 multipliers, divider –Integer: adder, 2 incrementers,... Hardwired control (no microcoding) Scoreboard for dynamic scheduling of instructions Ten Peripheral Processors for Input/Output –a fast multi-threaded 12-bit integer ALU Very fast clock, 10 MHz (FP add in 4 clocks) >400,000 transistors, 750 sq. ft., 5 tons, 150 kW, novel freon-based technology for cooling Fastest machine in world for 5 years (until 7600) –over 100 sold ($7-10M each)

3/10/2009CS152-Spring’09 18 IBM Memo on CDC6600 Thomas Watson Jr., IBM CEO, August 1963: “Last week, Control Data... announced the 6600 system. I understand that in the laboratory developing the system there are only 34 people including the janitor. Of these, 14 are engineers and 4 are programmers... Contrasting this modest effort with our vast development activities, I fail to understand why we have lost our industry leadership position by letting someone else offer the world's most powerful computer.” To which Cray replied: “It seems like Mr. Watson has answered his own question.”

3/10/2009CS152-Spring’09 19 CS152 Administrivia Thursday Mar 19, Quiz 3 –Virtual memory hierarchy lectures L9 - L11, PS 3, Lab 3

3/10/2009CS152-Spring’09 20 Complex Pipeline IF ID WB ALUMem Fadd Fmul Fdiv Issue GPR’s FPR’s Can we solve write hazards without equalizing all pipeline depths and without bypassing?

3/10/2009CS152-Spring’09 21 When is it Safe to Issue an Instruction? Suppose a data structure keeps track of all the instructions in all the functional units The following checks need to be made before the Issue stage can dispatch an instruction Is the required function unit available? Is the input data available?  RAW? Is it safe to write the destination? WAR? WAW? Is there a structural conflict at the WB stage?

3/10/2009CS152-Spring’09 22 A Data Structure for Correct Issues Keeps track of the status of Functional Units The instruction i at the Issue stage consults this table FU available? check the busy column RAW?search the dest column for i’s sources WAR?search the source columns for i’s destination WAW?search the dest column for i’s destination An entry is added to the table if no hazard is detected; An entry is removed from the table after Write-Back NameBusyOpDestSrc1Src2 Int Mem Add1 Add2 Add3 Mult1 Mult2 Div

3/10/2009CS152-Spring’09 23 Simplifying the Data Structure Assuming In-order Issue Suppose the instruction is not dispatched by the Issue stage if a RAW hazard exists or the required FU is busy, and that operands are latched by functional unit on issue: Can the dispatched instruction cause a WAR hazard ? WAW hazard ? NO: Operands read at issue YES: Out-of-order completion

3/10/2009CS152-Spring’09 24 Simplifying the Data Structure... No WAR hazard  no need to keep src1 and src2 The Issue stage does not dispatch an instruction in case of a WAW hazard a register name can occur at most once in the dest column WP[reg#] : a bit-vector to record the registers for which writes are pending These bits are set to true by the Issue stage and set to false by the WB stage Each pipeline stage in the FU's must carry the dest field and a flag to indicate if it is valid “the (we, ws) pair”

3/10/2009CS152-Spring’09 25 Scoreboard for In-order Issues Busy[FU#] : a bit-vector to indicate FU’s availability. (FU = Int, Add, Mult, Div) These bits are hardwired to FU's. WP[reg#] : a bit-vector to record the registers for which writes are pending. These bits are set to true by the Issue stage and set to false by the WB stage Issue checks the instruction (opcode dest src1 src2) against the scoreboard (Busy & WP) to dispatch FU available? RAW? WAR? WAW? Busy[FU#] WP[src1] or WP[src2] cannot arise WP[dest]

3/10/2009CS152-Spring’09 26 Scoreboard Dynamics I 1 DIVDf6, f6,f4 I 2 LDf2,45(r3) I 3 MULTDf0,f2,f4 I 4 DIVDf8,f6,f2 I 5 SUBDf10,f0,f6 I 6 ADDDf6,f8,f2 Functional Unit Status Registers Reserved Int(1) Add(1) Mult(3) Div(4) WB for Writes t0 I 1 f6 f6 t1 I 2 f2 f6f6, f2 t2 f6 f2 f6, f2 I 2 t3 I 3 f0 f6 f6, f0 t4 f0 f6 f6, f0 I 1 t5 I 4 f0 f8 f0, f8 t6 f8 f0 f0, f8 I 3 t7 I 5 f10f8 f8, f10 t8 f8 f10 f8, f10 I 5 t9 f8 f8 I 4 t10 I 6 f6 f6 t11 f6 f6 I 6

3/10/2009CS152-Spring’09 27 In-Order Issue Limitations: an example latency 1LDF2, 34(R2)1 2LDF4,45(R3)long 3MULTDF6,F4,F23 4SUBDF8,F2,F21 5DIVDF4,F2,F84 6ADDDF10,F6,F41 In-order: 1 (2,1) In-order restriction prevents instruction 4 from being dispatched

3/10/2009CS152-Spring’09 28 Out-of-Order Issue Issue stage buffer holds multiple instructions waiting to issue. Decode adds next instruction to buffer if there is space and the instruction does not cause a WAR or WAW hazard. –Note: WAR possible again because issue is out-of-order (WAR not possible with in- order issue and latching of input operands at functional unit) Any instruction in buffer whose RAW hazards are satisfied can be issued (for now at most one dispatch per cycle). On a write back (WB), new instructions may get enabled. IFIDWB ALUMem Fadd Fmul Issue

3/10/2009CS152-Spring’09 29 Issue Limitations: In-Order and Out-of-Order latency 1LDF2, 34(R2)1 2LDF4,45(R3)long 3MULTDF6,F4,F23 4SUBDF8,F2,F21 5DIVDF4,F2,F84 6ADDDF10,F6,F41 In-order: 1 (2,1) Out-of-order: 1 (2,1) Out-of-order execution did not allow any significant improvement!

3/10/2009CS152-Spring’09 30 How many instructions can be in the pipeline? Which features of an ISA limit the number of instructions in the pipeline? Out-of-order dispatch by itself does not provide any significant performance improvement! Number of Registers

3/10/2009CS152-Spring’09 31 Overcoming the Lack of Register Names Floating Point pipelines often cannot be kept filled with small number of registers. IBM 360 had only 4 floating-point registers Can a microarchitecture use more registers than specified by the ISA without loss of ISA compatibility ? Robert Tomasulo of IBM suggested an ingenious solution in 1967 using on-the-fly register renaming

3/10/2009CS152-Spring’09 32 Instruction-level Parallelism via Renaming latency 1LDF2, 34(R2)1 2LDF4,45(R3)long 3MULTDF6,F4,F23 4SUBDF8,F2,F21 5DIVDF4’,F2,F84 6ADDDF10,F6,F4’1 In-order: 1 (2,1) Out-of-order: 1 (2,1) (3,5) X Any antidependence can be eliminated by renaming. (renaming  additional storage) Can it be done in hardware? yes!

3/10/2009CS152-Spring’09 33 Acknowledgements These slides contain material developed and copyright by: –Arvind (MIT) –Krste Asanovic (MIT/UCB) –Joel Emer (Intel/MIT) –James Hoe (CMU) –John Kubiatowicz (UCB) –David Patterson (UCB) MIT material derived from course UCB material derived from course CS252