Monte-Carlo Methods for Chemical-Mechanical Planarization on Multiple-Layer and Dual-Material Models Supported by Cadence Design Systems, Inc., NSF, the.

Slides:



Advertisements
Similar presentations
Hierarchical Dummy Fill for Process Uniformity Supported by Cadence Design Systems, Inc. NSF, and the Packard Foundation Y. Chen, A. B. Kahng, G. Robins,
Advertisements

The simplex algorithm The simplex algorithm is the classical method for solving linear programs. Its running time is not polynomial in the worst case.
New Graph Bipartizations for Double-Exposure, Bright Field Alternating Phase-Shift Mask Layout Andrew B. Kahng (UCSD) Shailesh Vaya (UCLA) Alex Zelikovsky.
Linear Programming (LP) (Chap.29)
DPIMM-II 2003 UCSD VLSI CAD LAB Compression Schemes for "Dummy Fill" VLSI Layout Data Robert Ellis, Andrew B. Kahng and Yuhong Zheng ( Texas A&M University.
Dummy Feature Placement for Chemical- mechanical Polishing Uniformity in a Shallow Trench Isolation Process Ruiqi Tian 1,2, Xiaoping Tang 1, D. F. Wong.
Multi-Project Reticle Floorplanning and Wafer Dicing Andrew B. Kahng 1 Ion I. Mandoiu 2 Qinke Wang 1 Xu Xu 1 Alex Zelikovsky 3 (1) CSE Department, University.
Minimum-Buffered Routing of Non- Critical Nets for Slew Rate and Reliability Control Supported by Cadence Design Systems, Inc. and the MARCO Gigascale.
Performance-Impact Limited Area Fill Synthesis
Fill for Shallow Trench Isolation CMP
Approximation Algorithms
Design-Manufacturing Interface Formulations and Algorithms Andrew B. Kahng, CSE 291 Spring 2001
Network Optimization Models: Maximum Flow Problems In this handout: The problem statement Solving by linear programming Augmenting path algorithm.
Supply Voltage Degradation Aware Analytical Placement Andrew B. Kahng, Bao Liu and Qinke Wang UCSD CSE Department {abk, bliu,
Zoë Abrams, Ashish Goel, Serge Plotkin Stanford University Set K-Cover Algorithms for Energy Efficient Monitoring in Wireless Sensor Networks.
Yield- and Cost-Driven Fracturing for Variable Shaped-Beam Mask Writing Andrew B. Kahng CSE and ECE Departments, UCSD Xu Xu CSE Department, UCSD Alex Zelikovsky.
Practical Iterated Fill Synthesis for CMP Uniformity Supported by Cadence Design Systems, Inc. Y. Chen, A. B. Kahng, G. Robins, A. Zelikovsky (UCLA, UVA.
Point Location Computational Geometry, WS 2007/08 Lecture 5 Prof. Dr. Thomas Ottmann Algorithmen & Datenstrukturen, Institut für Informatik Fakultät für.
DPIMM-03 1 Performance-Impact Limited Area Fill Synthesis Yu Chen, Puneet Gupta, Andrew B. Kahng (UCLA, UCSD) Supported by Cadence.
Fill for Shallow Trench Isolation CMP Andrew B. Kahng 1,2 Puneet Sharma 1 Alexander Zelikovsky 3 1 ECE Department, University of California – San Diego.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
1 1 Slide Chapter 14: Goal Programming Goal programming is used to solve linear programs with multiple objectives, with each objective viewed as a "goal".
Topography-Aware OPC for Better DOF margin and CD control Puneet Gupta*, Andrew B. Kahng*†‡, Chul-Hong Park†, Kambiz Samadi†, and Xu Xu‡ * Blaze-DFM Inc.
Design of Integrated-Circuit Interconnects with Accurate Modeling of Chemical-Mechanical Planarization Lei He, Andrew B. Kahng*, Kingho Tam, Jinjun Xiong.
Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing Puneet Gupta 1 Andrew B. Kahng 1,2,3 O.S. Nakagawa 1 Kambiz.
1 Area Fill Generation With Inherent Data Volume Reduction Yu Chen, Andrew B. Kahng, Gabriel Robins, Alexander Zelikovsky and Yuhong Zheng (UCLA, UCSD,
UC San Diego Computer Engineering. VLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD.
SLIP 2000April 9, Wiring Layer Assignments with Consistent Stage Delays Andrew B. Kahng (UCLA) Dirk Stroobandt (Ghent University) Supported.
Hierarchical Dummy Fill for Process Uniformity Supported by Cadence Design Systems, Inc. Y. Chen, A. B. Kahng, G. Robins, A. Zelikovsky (UCLA, UCSD, UVA.
7/14/ Design for Manufacturability Prof. Shiyan Hu Office: EERC 731.
Abstract A new Open Artwork System Interchange Standard (OASIS) has been recently proposed for replacing the GDSII format. A primary objective of the new.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Hierarchical Dummy Fill for Process Uniformity Supported by Cadence Design Systems, Inc. NSF, and the Packard Foundation Y. Chen, A. B. Kahng, G. Robins,
1 1 Slide © 2009 South-Western, a part of Cengage Learning Slides by John Loucks St. Edward’s University.
Linear Programming.
Novel Wire Density Driven Full-Chip Routing for CMP Variation Control Huang-Yu Chen †, Szu-Jui Chou †, Sheng-Lung Wang ‡, and Yao-Wen Chang † † National.
Impact of Nanotopography on STI CMP in Future Technologies D. Boning and B. Lee, MIT N. Poduje, ADE Corp. J. Valley, ADE Phase-Shift W. Baylies, Baytech.
1 Coupling Aware Timing Optimization and Antenna Avoidance in Layer Assignment Di Wu, Jiang Hu and Rabi Mahapatra Texas A&M University.
Linear Programming Topics General optimization model LP model and assumptions Manufacturing example Characteristics of solutions Sensitivity analysis Excel.
TSV-Aware Analytical Placement for 3D IC Designs Meng-Kai Hsu, Yao-Wen Chang, and Valerity Balabanov GIEE and EE department of NTU DAC 2011.
1 1 Slide Linear Programming (LP) Problem n A mathematical programming problem is one that seeks to maximize an objective function subject to constraints.
NTUEE 1 Coupling-Constrained Dummy Fill for Density Gradient Minimization Huang-Yu Chen 1, Szu-Jui Chou 2, and Yao-Wen Chang 1 1 National Taiwan University,
Chapter 7 Introduction to Linear Programming
Boltzmann Machine (BM) (§6.4) Hopfield model + hidden nodes + simulated annealing BM Architecture –a set of visible nodes: nodes can be accessed from outside.
Motif finding with Gibbs sampling CS 466 Saurabh Sinha.
Closing the Smoothness and Uniformity Gap in Area Fill Synthesis Supported by Cadence Design Systems, Inc., NSF, the Packard Foundation, and State of Georgia’s.
 Chemical-Mechanical Polishing (CMP)  Rotating pad polishes each layer on wafers to achieve planarized surfaces  Uneven features cause polishing pad.
1 Prune-and-Search Method 2012/10/30. A simple example: Binary search sorted sequence : (search 9) step 1  step 2  step 3  Binary search.
Chapter 2 Introduction to Linear Programming n Linear Programming Problem n Problem Formulation n A Maximization Problem n Graphical Solution Procedure.
CAS 721 Course Project Implementing Branch and Bound, and Tabu search for combinatorial computing problem By Ho Fai Ko ( )
1 Iterative Integer Programming Formulation for Robust Resource Allocation in Dynamic Real-Time Systems Sethavidh Gertphol and Viktor K. Prasanna University.
Closing the Smoothness and Uniformity Gap in Area Fill Synthesis Supported by Cadence Design Systems, Inc., NSF, the Packard Foundation, and State of Georgia’s.
Example Apply hierarchical clustering with d min to below data where c=3. Nearest neighbor clustering d min d max will form elongated clusters!
An Exact Algorithm for Difficult Detailed Routing Problems Kolja Sulimma Wolfgang Kunz J. W.-Goethe Universität Frankfurt.
3.4: Linear Programming  Intro: Oftentimes we want to optimize a situation - this means to:  find a maximum value (such as maximizing profits)  find.
1 1 Slide © 2008 Thomson South-Western. All Rights Reserved Slides by JOHN LOUCKS St. Edward’s University.
Common Intersection of Half-Planes in R 2 2 PROBLEM (Common Intersection of half- planes in R 2 ) Given n half-planes H 1, H 2,..., H n in R 2 compute.
Approximation Algorithms Duality My T. UF.
1 Chapter 5 Branch-and-bound Framework and Its Applications.
1 2 Linear Programming Chapter 3 3 Chapter Objectives –Requirements for a linear programming model. –Graphical representation of linear models. –Linear.
Unified Adaptivity Optimization of Clock and Logic Signals Shiyan Hu and Jiang Hu Dept of Electrical and Computer Engineering Texas A&M University.
The minimum cost flow problem
Chapter 5. Optimal Matchings
Closing the Smoothness and Uniformity Gap in Area Fill Synthesis
CSE 589 Applied Algorithms Spring 1999
Is Co-existence Possible?
Linear Programming.
Boltzmann Machine (BM) (§6.4)
Automated Layout and Phase Assignment for Dark Field PSM
Presentation transcript:

Monte-Carlo Methods for Chemical-Mechanical Planarization on Multiple-Layer and Dual-Material Models Supported by Cadence Design Systems, Inc., NSF, the Packard Foundation, and State of Georgia’s Yamacraw Initiative Y. Chen, A. B. Kahng, G. Robins, A. Zelikovsky (UCLA, UCSD, UVA and GSU)

Outline Layout Density Control for CMP Our Contributions STI Dual-Material Dummy Fill Multiple-layer Oxide CMP Dummy Fill Summary and Future Research

CMP and Interlevel Dielectric Thickness Chemical-Mechanical Planarization (CMP) = wafer surface planarization Uneven features cause polishing pad to deform Dummy features ILD thickness Interlevel-dielectric (ILD) thickness  feature density Insert dummy features to decrease variation ILD thickness Features

Layout Density Model Effective Density Model window density  weighted sum of tiles' feature area  weights decrease from center tile to neighboring tiles

Filling Problem Given  rule-correct layout in n  n region  upper bound U on tile density Fill layout subject to the given constraints Min-Var objective  minimize density variation subject to upper bound Min-Fill objective  minimize total amount of filling subject to fixed density variation

LP and Monte-Carlo Methods  Single-layer fill problem  linear programming problem  impractical runtime for large layouts  essential rounding error for small tiles Monte-Carlo method (accurate and efficient)  calculate priority of each tile according to its effective density  higher priority of a tile  higher probability to be filled  pick the tile for next filling randomly  if the tile is overfilled, lock all neighboring tiles  update priorities of all neighboring tiles

Outline Layout Density Control for CMP Our Contributions  new Monte-Carlo methods for STI Min-Var and Min-Fill objectives  LP formulations for a new multiple-layer fill objective  new Monte-Carlo methods for multiple-layer fill problem STI Dual-Material Dummy Fill Multiple-layer Oxide CMP Dummy Fill Summary and Future Research

Our Contributions Fill problem in STI dual-material CMP  new Monte-Carlo methods for STI Min-Var objective  new Monte-Carlo/Greedy methods with removal phase for STI Min-Fill objective Fill problem in Multiple-layer oxide CMP  a LP formulation for a new multiple-layer fill objective  new Monte-Carlo methods

Outline Layout Density Control for CMP STI Dual-Material Dummy Fill  new Monte-Carlo methods for Min-Varr and Min-Fill objectives Multiple-layer Oxide CMP Dummy Fill Summary and Future Research

Shallow Trench Isolation Process Nitride Silicon nitride deposition on silicon Oxide oxide deposition Uniformity requirement on CMP in STI  under polish  over polish etch shallow trenches through nitride silicon remove excess oxide and partially nitride by CMP nitride stripping height difference  H

STI CMP Model STI post-CMP variation can be controlled by changing the feature density distribution using dummy features insertion Compressible pad model  polishing occurs on both up and down areas after some step height Dual-Material polish model  two different materials are for top and bottom surfaces

STI Fill Problem Non-linear programming problem Min-Var objective: minimize max height variation  Previous method (Motorola)  dummy feature is added at the location having the smallest effective density  terminates when there is no feasible fill position left Min-Fill objective: minimize total number of inserted fill, while keeping the given lower bound  Previous method (Motorola)  adds dummy features greedily  concludes once the given bound for ΔΗ is satisfied Drawbacks of previous work  can not guarantee to find a global minimum since it is deterministic  for Min-Fill, simple termination when the bound is first met is not sufficient to yield optimal/sub- optimal solutions.

Monte-Carlo Methods for STI Min-Var Monte-Carlo method  calculate priority of tile(i,j) as  H -  H (i, j, i’, j’)  pick the tile for next filling randomly  if the tile is overfilled, lock all neighboring tiles  update tile priority Iterated Monte-Carlo method  repeat forever  run Min-Var Monte-Carlo with max height difference  H  exit if no change in minimum height difference  delete as much as possible pre-inserted dummy features while keeping min height difference M

MC/Greedy methods for STI Min-Fill Find a solution with Min-Var objective to satisfy the given lower bound Modify the solution with respect to Min-Fill objective Algorithm  Run Min-Var Monte-Carlo / Greedy algorithm  Compute removal priority of each tile  WHILE there exist an unlocked tile DO  Choose unlock tile T ij randomly according to priority  Delete a dummy feature from T ij  Update the tile’s priority

STI Fill Results Methods (Greedy, MC, IGreedy ad IMC) for STI Fill under Min-Var objective Methods(GreedyI, MCI, GreedyII and MCII) for STI Fill under Min-Fill objective

Outline Layout Density Control for CMP Our Contributions STI Dual-Material Dummy Fill Multiple-layer Oxide CMP Dummy Fill  LP formulations for a new multiple-layer fill objective  new Monte-Carlo methods Summary and Future Research

Multiple-Layer Oxide CMP Each layer except the bottom one can’t assume a perfect flat starting surface Layer 0 Layer 1 Multiple-layer density model ^ : fast Fourier transform operator :effective local density : step height : local density for layer k

Multiple-Layer Oxide Fill Objectives LP formulation  Min M  Subject to: (Min-Var objective) minimize  sum of density variations on all layers  can not guarantee the Min-Var objective on each layer  A bad polishing result on intermediate layer may cause problems on upper layers  maximum density variation across all layers

Multiple-Layer Monte-Carlo Approach Tile stack  column of tiles having the same positions on all layers Effective density of tile stack  sum of effective densities of all tiles in tile stack layer 3 layer 2 layer 1 tiles on each layer tile stack

Multiple-Layer Monte-Carlo Approach Compute slack area and cumulative effective density for each tile stack Calculate priority of each tile stack according to its cumulative effective density WHILE ( sum of priorities > 0 ) DO  randomly select a tile stack according to its priority  from its bottom layer to top layer, check whether it is feasible to insert a dummy feature in  update slack area and priority of the tile stack  if no slack area left, lock the tile stack

Multiple-Layer Fill Results Performance of LP0, LP1, Greedy, MC, IGreedy and IMC for Min-Var-Sum

Outline Layout Density Control for CMP Multiple-layer Oxide CMP Dummy Fill STI Dual-Material Dummy Fill Summary and Future Research

STI fill problem  Monte-Carlo methods for STI Min-Var  Monte-Carlo / Greedy methods for STI Min-Fill Multiple-layer fill problem  LP formulation for a new Min-Var objective  efficient multiple-layer Monte-Carlo approaches Ongoing research  further study of multiple-layer fill objectives  more powerful Monte-Carlo methods for multiple- layer fill problem  CMP simulation tool

Thank you!

Fixed-Dissection Regime Monitor only fixed set of w  w windows  “offset” = w/r (example shown: w = 4, r = 4) Partition n x n layout into nr/w  nr/w fixed dissections Each w  w window is partitioned into r 2 tiles Overlapping windows w w/r n tile

Objectives of Density Control Objective for Manufacture = Min-Var minimize window density variation subject to upper bound on window density Objective for Design = Min-Fill minimize total amount of filling subject to fixed density variation

Layout Density Models Spatial Density Model window density  sum of tiles feature area Slack Area Feature Area tile Effective Density Model (more accurate) window density  weighted sum of tiles' feature area  weights decrease from window center to boundaries

Outline Chemical Mechanical Processing & Filling Problem and previous works Multiple-layer Oxide CMP Dummy Fill Shallow Trench Isolation Dummy Fill Computational Experience Summary and Future Research

Previous Works Kahng et al.  first formulation for fill problem  layout density analysis algorithms  first LP based approach for Min-Var objective  Monte-Carlo/Greedy  iterated Monte-Carlo/Greedy  hierarchical fill problem Wong et al.  Min-Fill objective  dual-material fill problem

Shallow Trench Isolation Process Nitride Silicon nitride deposition on silicon Oxide oxide deposition remove excess oxide and partially nitride by CMP nitride stripping Uniformity requirement on CMP in STI  not enough polish  over polish etch shallow trenches through nitride silicon

pad wafer pad wafer Incompressible pad model  no down area polishing occurs until the local step height is removed STI CMP Model pad wafer pad wafer Compressible pad model  polishing occurs in both up and down areas after some step height K2K2 Up area removal rate Down area removal rate K1K1 0 hchc step height removal rate time K 1 : patterned removal rate = K 2 /  K 2 : blanket removal rate Local Pad Compression Model

STI CMP Model 0 KdKd KuKu K u /ρ hchc HsHs down surface up surface dH/dt Dual-Material Polish Model  two different materials for top and bottom surfaces

STI CMP Model STI post-CMP variation can be controlled by changing the feature density distribution using dummy features insertion Equation for height difference between (i,j) and (i’, j’) Nitride Oxide Silicon Z1Z1 Z0Z0 HnHn Assumption  oxide deposition is conformal to trench profile  side walls (oxide and trench) are straight

STI Fill Problem Non-linear programming problem Min-Var objective  minimize the maximum height variation ΔΗ Min-Fill objective  minimize the total amount of inserted fill, while respecting the given lower bound

Previous works on STI Fill Min-Var STI fill  dummy feature is added at the location having the smallest effective density  terminates when there is no feasible fill position left Min-Fill STI fill  add dummy features greedily  conclude once the given bound for ΔΗ is satisfied Drawback of previous work  can not guarantee to find a global minimum for it is deterministic  for Min-fill, simple termination when the bound is first met is not sufficient to yield optimal/sub-optimal solutions.

STI Fill Problem Min-Var objective: minimize max height variation  Previous method (Tian et al.)  dummy feature is added at the location having the smallest effective density  terminates when there is no feasible fill position left Min-Fill objective: minimize total number of inserted fill, while keeping the given lower bound  Previous method (Tian et al.)  add dummy features greedily  conclude once the given bound for ΔΗ is satisfied Non-linear programming problem Drawbacks of previous work  can not guarantee to find a global minimum since it is deterministic  for Min-Fill, simple termination when the bound is first met is not sufficient to yield optimal/sub- optimal solutions.

Computational Experience Testbed  GDSII input  hierarchical polygon database  C++ under Solaris Test cases Part of metal layers from industry custom-block layout

Multiple-Layer Fill Results Comparison of two LPs for Min-Var-Sum and Min-Max-Var objectives  LP0: LP formulations to minimize the sum of density variations on all layers  LP1: LP formulations to minimize the maximum density variation across all layers