邏輯設計 題目:3_8解碼器 姓名:李國豪 學號:B09322001.

Slides:



Advertisements
Similar presentations
FCU, Department of ECE, IC Design Research Lab. TEL: # 4945 Pre-SIm , Post-Sim.
Advertisements

布林代數的應用--- 全及項(最小項)和全或項(最大項)展開式
學習C++的基本語法 認識關鍵字與識別字的不同 學習程式碼偵錯的流程 學習如何提高程式的可讀性
3Com Switch 4500 切VLAN教學.
PowerPoint2010 李燕秋 版面配置 版面配置指的是每一個頁面的內容配置 方式,不同的版面配置會有對應的母片。
校園線上技術服務之使用意圖與 建置成功因素的研究 2011/04/22 淡江大學 資管所碩二 李依倫 鄭佳容.
如何將數字變成可用之資訊 現代化資料處理與應用概念. 如何將數字變成可用之資訊 人最容易接受的訊息是圖像化資訊。 在一堆數字中,要進行比較分析,一般會使用表格形 式計算與分析。 所以一般我們會將數字依關聯性, 轉換成表格計算與分析。 此表格一般稱試算表或稱表格。 再將結果轉換為圖表,進行比較與分析。
期末專題 - 吊人頭遊戲 第 35 組 組員 : 電機系 49841XXXX XXX 電機系 49841OOOO OOO.
SIP Mobiity TA: 洪敏書
1 第一章 Word 的基本觀念 內容概要: Word 的特色 啟動與離開 Word 的方法 滑鼠游標與外型的介紹 基本操作 Word 視窗法則 使用 Word 遭遇問題時, 應如何利用軟體特 性而獲得輔助解說.
Android Class vs. Jar 建國科技大學 資管系 饒瑞佶 2013/4 V1. 從 MyAndroidProject 專案改起 將 BMI_method.java 改寫成 class 方式 步驟 1 :在原 package 內新增一個 class.
五小專案 黃詩晴 章乃云. 目錄 計算機 智慧盤 拼圖 記憶大挑戰 數學題庫 心得 參考文獻.
1 Chapter 4 如何建立自己的元件庫 由現有的電路圖建一符號元件 如何將建立的符號元件在別的專案中使 用 先建立符號元件再設計內部電路 壹位元全加法器之設計 二位元全加法器之設計.
女生宿舍空調系統使用說明 淡江大學學務處住輔組 輔導員 陳芝仙. 淡江 e 服務網 ( 註冊成為「淡江 e 服務網」會員.
Outlook Express.
第一章 變數、常數及資料型態. 變數 C 程式語言的變數名稱 第一個字必須是英文字母或底線 (_) 之後可以是數字, 英文字母或底線 (_) 不可以是保留字 例: Num (Ο) _score (Ο) C&C (X) 8num (X)
國立聯合大學 資訊管理學系 陳士杰老師 MySQL5 視覺化資料庫管理工具 - MySQL Adminstrator.
第一章 計算機系統的主要架構.
各種線上電子資源的特異功能 STICnet 的 SDI 專題訂閱服務 2003/4/28 修改. 無論校內外皆可使用。連線至
MS-DOS 作業系統 張基昇 (Microsoft-Disk Operating System).
1 網路同步學習 如何使用中山大學管理學院知識管理平台 愷中 製作. 2 如何登入中山大學網路學習平台 1. 首先, 請輸入 2. 點選申請帳號, 依照螢幕所示, 輸入個人資訊.
VHDL 邏輯設計 題目:一對十六解多工器 題目:一對十六解多工器 姓名:李國豪 姓名:李國豪 學號:B09322001 學號:B09322001.
國立中山大學財產管理系統 線上報廢、盤點系統 總務處保管組 策劃 計算機與網路中心 分析設計 2008/03.
第 1 章 PC 的基本構造. 本章提要 PC 系統簡介 80x86 系列 CPU 及其暫存器群 記憶體: Memory 80x86 的分節式記憶體管理 80x86 的 I/O 結構 學習組合語言的基本工具.
Introduction to Java Programming Lecture 17 Abstract Classes & Interfaces.
第九章 網際網路快遞 計算機概論編輯小組. 計算機概論 p9-2 大綱  電子郵件入門( )  elm  IE Outlook Express  Netscape 傳訊者  通訊錄管理.
24-6 設定開始與結束場景中的 程式 最後我們要替這個遊戲收個尾, 幫它把開始 的等待畫面跟結束畫面處理一下。
影像處理學習營 練習(四)製作影片 【下】 影像處理學習營 教師 吳清月. 製作影片 學習重點 一. 設定影片秒數。  加入音樂,與影片做結合。 三. 影片存檔方式之選擇  上傳到網路.
影像處理學習營 練習(三)製作影片 【上】 影像處理學習營 教師 吳清月. 製作影片 學習重點 一. 利用 movie maker 軟體,編輯相片。  加上文字幕,與圖片結合。
1 Netlibrary 電子書 Netlibrary 創始於 1998 年,是世界知名的電子書資 料庫,提供 450 多家出版社所出版近 100,962 ( 止)本的電子書,且以每月 2,000 本的 速度增加中。其中 80% 屬於學術性圖書,其餘 20% 一般圖書, 90% 以上為.
各種線上電子資源的特異功能 SwetsWise 的 alert, TOC alert 與 Favorites 2003/4/28 修改.
資料庫程式設計與系統管理 SQL Server 2005 Express 第六章 進階資料庫設計.
第十章 再論結構. 指標與結構的關係 /* File name: ex10-1a.c */ #include int main() { struct student { char *name; int score; }; struct student st= {"Brian", 97}; struct.
下載 Dev C++ Compiler. 網址 ding.php?groupnamehttp://sourceforge.net/project/downloa ding.php?groupname=dev-cpp & filename=devcpp _setup.exe.
國立聯合大學 資訊管理學系 陳士杰老師 SQL*Plus 與 iSQL*Plus 簡介. 國立聯合大學 資訊管理學系 資料庫系統課程 ( 陳士杰 ) 2 啟動 SQL*Plus 與 iSQL*Plus 方式 Windows 平台.
校外使用圖書館購置之資料庫 龍華大學圖書館. 讀者遠端認證 (RPA) 設定說明  透過圖書館架設完成的 RPA (Remote Patron Authentication) 讀者遠端認證代理主 機系統,讀者於校外或院外 可直接連線使 用本館所提供的資料庫。  若非使用本館電子資料,請勿設定此代理.
從此處輸入帳號密碼登入到管理頁面. 點選進到檔案管理 點選「上傳檔案」上傳資料 點選瀏覽選擇電腦裡的檔案 可選擇公開或不公開 為平台上的資料夾 此處為檔案分類,可顯示在展示頁面上,若要參加 MY EG 競賽,做品一律上傳到 “ 98 MY EG Contest ” 點選此處確定上傳檔案.
Chapter 17 Windows API 程式入門 VC++ 與 WindowsVC++ 與 Windows 建構遊戲設計的舞台 建構遊戲設計的舞台.
6-2 認識元件庫與內建元件庫 Flash 的元件庫分兩種, 一種是每個動畫專 屬的元件庫 (Library) ;另一種則是內建元 件庫 (Common Libraries), 兩者皆可透過 『視窗』功能表來開啟, 以下即為您說明。
資料庫實作教學.
參考書籍:古頤榛, Visual C++ 6教學範本 , 碁峰資訊股份有限公司。
第二章第二章 Statistica 的工具欄. 本章目標:工具欄之使用 介紹 STATISTICA 是一個非常好用的統計軟體,只 要你對微軟的 Office 套裝軟體有較熟悉的瞭解, 那對 STATISTICA 的工具欄就非常容易掌握。 STATISTICA 的文件組織有四種類型,即 Workbook.
Hung-Hsiang WuWindows Processing Design1 Chapter 4 簡單視窗程式 表單與標籤的屬性 按鈕與編輯的屬性 設計簡單的應用程式 表單常用事件 一般鍵盤及滑鼠常見的事件 表單視窗間的呼叫 表單間資料傳送應用 專案選擇設定.
MinGW 的安裝.
Management Abstracts Retrieval System; MARS 檢索操作.
2015/6/251 視訊剪輯軟體教學 PowerDirector. 2015/6/252 內容 開啟威力導演,儲存專案檔 擷取 編輯 – 視訊特效 – 文字特效 – 轉場特效 – 子母畫面 製作光碟.
校園網頁整合平台介紹 電算中心綜合業務組. 大綱 設計理念 功能介紹 實做 FAQ 特殊案例 Q&A.
T H O M S O N S C I E N T I F I C ISI Web of Knowledge 新功能與提升 2005 年第 3 季.
Linguistics phonetic symbols. 先下載 IPA 字型檔案,執行安裝。 由於這個程式的字型目錄設定錯誤, 所以等重新開機時就會發現字型消失。 所以必須根據以下步驟來讓 Windows 加入 IPA 字型。
Chapter 10 m-way 搜尋樹與B-Tree
概念性產品企劃書 呂學儒 李政翰.
專題成果報告 胺基酸功能預測開發環境 指導教授:歐昱言 邱彥豪 邱顯鈞.
5 重複迴圈 5.1 增減運算符號 增量運算符號 減量運算符號
Biological Science Database 個人化服務設定步驟. Biological Science Database 僅提供專題選 粹服務 專題選粹 (Alerts) :查詢後,提供儲存檢 索策略的功能,日後每週將符合條件的 更新資料,採 方式通知。每筆設定 最多每週可收到.
1 EndNote 金珊資訊有限公司 2 EndNote X2 新功能 新增標籤 (Tab) 視窗 線上查詢群組 智慧群組 (Smart Groups) 新增參考文獻類型 查詢全文 標示日期 以電子郵件傳送壓縮的 Library 檔案 Library.
如何使用 Yahoo Messenger Yahoo Messenger 雅虎即時通 讓你在第一時間內和上線的朋 友溝通!
Outlook 教學與研習 (1) - 設定及收發郵件 - 設定郵件規則 陽明大學資訊與通信中心 陳坤元 2006/03/27.
09610CS_ 校園授權軟體下載與安裝 講師 : 張智宏. 登入校務資訊系統 校園軟體下載.
Cambridge Scientific Abstracts 系列資料庫 圖 書 館
-Artificial Neural Network- Matlab操作介紹 -以類神經網路BPN Model為例
Visual C++重點複習.
MS office2003 於護理應用 (V) Excel 授課教師:郝德慧 講師. Excel 基本功能 Outline 1 Excel 的巨集錄製 2 Excel 的巨集執行 3 Excel 的繪製圖表 4 Excel 的專題範例操作 5.
實體關係模型 (ER Model).
著作權所有 © 旗標出版股份有限公司 第 14 章 製作信封、標籤. 本章提要 製作單一信封 製作單一郵寄標籤.
第 1 章 PC 的基本構造. 本章提要 PC 系統簡介 80x86 系列 CPU 及其暫存器群 記憶體: Memory 80x86 的分節式記憶體管理 80x86 的 I/O 結構 學習組合語言的基本工具.
VHDL語法(3).
遠端北風資料庫 公佈時間: 2006/4/26 繳交截止時間: 2006/5/10. 作業目的 實作遠端資料庫瀏覽程式.
SQL CE. 註冊 RDA REGSVR32 IIS 設定 新增虛擬目錄 IIS 設定 輸入虛擬目錄名稱.
Internet Technology Laboratory Department of Computer and Communication Kun Shan University  官方網站:
邏輯設計 多工器 v.s 解多工器 編碼器 v.s 解碼器. LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY mux_VHDL IS PORT ( I: IN STD_LOGIC_VECTOR(11 downto 0); S: IN INTEGER.
Presentation transcript:

邏輯設計 題目:3_8解碼器 姓名:李國豪 學號:B09322001

目標  將三個BCD輸入訊號解碼成八個LED顯示0~7十進制電路

真值表

當I(2),I(1),I(0)輸入為 ” 0 0 0 “ , 則輸出O(0)~O(7)為 ”10000000”

寫出VHDL的程式 Library ieee; -- 引用ieee資料庫 use ieee.std_logic_1164.all; -- 使用ieee中的所有元件 entity Decoder3_8 is -- 宣告IC長相 port( I: in std_logic_vector(2 downto 0); O: out std_logic_vector(7 downto 0)); End; architecture main of Decoder3_8 is -- 定義電路結構 begin process(I) -- 處理輸入I if I="000" then O<="00000001"; elsif I="001" then O<="00000010"; elsif I="010" then O<="00000100"; elsif I="011" then O<="00001000"; elsif I="100" then O<="00010000"; elsif I="101" then O<="00100000"; elsif I="110" then O<="01000000"; else O<="10000000"; end if; end process; end;

LATTICE使用 從 開始 程式集 Lattice Semiconductor 開啟檔案

新增一個Project 輸入檔名 選擇VHDL格式

1.選擇所要用的裝置(Device) 2.選ispLSI2032E 3.OK按下去

1.新增一個原始檔Source 3.OK 2.選擇VHDL Module格式

1.輸入檔名Decoder3_8 4.OK 2.實體名稱 3.結構名稱

編輯好之後存檔

跑看看程式碼有沒有錯誤

設定接腳

設定接腳 設定好之後存檔

1.搜尋Download Cable 2.燒錄至實驗板就完成了實驗

Synplify的使用 開一個Project 新的Project

開 NEW HDL File 把程式碼打好

1.加入檔案 2.選擇檔案 3.加入 4.OK

程式跑一遍 成功囉

閱覽電路圖