Physical Layout Design of Directed Self- Assembly Guiding Alphabet for IC Contact Hole/Via Patterning H.-S. Philip Wong, Linda He Yi, Maryann C. Tung,

Slides:



Advertisements
Similar presentations
Science Saturday --- October 1, Nanotechnology Exciting new science and technology for the 21st century IBM chipUMass LogoTI mirror array.
Advertisements

(and briefly, Electrodeposition)
Nanoscience, Nanotechnology and Nanomanufacturing Exciting new science and technology for the 21st century.
Anodic Aluminum Oxide.
Center for Materials for Information Technology an NSF Materials Science and Engineering Center Advanced Optical Lithography Lecture 14 G.J. Mankey
Bumm/OU NanoLab Assembling Your EL Lamp Cleaning the ITO Application of the phosphor layer Application of the dielectric layer Application of the back.
VLSI Design Lecture 2: Basic Fabrication Steps and Layout
ECE/ChE 4752: Microelectronics Processing Laboratory
FUNCTIONAL CHEMICALS RESEARCH LABORATORIES Copyright(c) NIPPON KAYAKU Co., Ltd. 1 KAYAKU MicroChem. Co., Ltd. MicroChem. Corp. NIPPON KAYAKU Co., Ltd.
John D. Williams, Wanjun Wang Dept. of Mechanical Engineering Louisiana State University 2508 CEBA Baton Rouge, LA Producing Ultra High Aspect Ratio.
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #6.
Nanoscale Electromolecular Lithography (NEL) Wolfgang Borchardt February 13, 2008.
Design and Implementation of VLSI Systems (EN1600) lecture04 Sherief Reda Division of Engineering, Brown University Spring 2008 [sources: Sedra/Prentice.
Nanoimprint II. NIL Technology sells stamps for nanoimprint lithography (NIL) and provides imprint services. Stamps made in Siliocn, Quartz, and Nickel.
IM-SURE Fellow: Georges Siddiqi Department of Chemical Engineering University of California, Los Angeles Mentor: Professor Regina Ragan Post-Doctoral Researcher:
Directed Assembly of Block Copolymer Blends into Nonregular Device-Oriented Structures Mark P. Stoykovich,1 Marcus Mu¨ller,2 Sang Ouk Kim,3 Harun H. Solak,4.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Workshop for NFF Nanoimprint System NFF MA6 Nanoimprint Upgrade.
Block copolymer self assembly 1.Di-block copolymer self assembly overview. 2.Guided (directed, aligned) self assembly. 3.Block copolymer lithography. ECE.
NANOSCALE LITHOGRAPHY MICHAEL JOHNSTON 4/13/2015.
Lithographic Processes
VFET – A Transistor Structure for Amorphous semiconductors Michael Greenman, Ariel Ben-Sasson, Nir Tessler Sara and Moshe Zisapel Nano-Electronic Center,
Hongbo Zhang, Yuelin Du, Martin D.F. Wong, Yunfei Deng, Pawitter Mangat Synopsys Inc., USA Dept. of ECE, Univ. of Illinois at Urbana-Champaign GlobalFoundries.
Seongbo Shim, Yoojong Lee, and Youngsoo Shin Lithographic Defect Aware Placement Using Compact Standard Cells Without Inter-Cell Margin.
Nano/Micro Electro-Mechanical Systems (N/MEMS) Osama O. Awadelkarim Jefferson Science Fellow and Science Advisor U. S. Department of State & Professor.
Fabrication of nanostructures by means of Block Copolymer based lithography Monica Ceresoli Supervisor: Prof. Paolo Milani Co-Supervisor: Dr. Michele Perego.
II-Lithography Fall 2013 Prof. Marc Madou MSTB 120
Nanotechnology The biggest science and engineering initiative since the Apollo program.
Surface Morphology Diagram for Cylinder-Forming Block Copolymer Thin Films Xiaohua Zhang Center for Soft Condensed Matter Physics and Interdisciplinary.
Center for Materials for Information Technology an NSF Materials Science and Engineering Center Nanolithography Lecture 15 G.J. Mankey
Three Dimensional Photonic Crystals Corey Ulmer. Outline What are Photonic Crystals/Why Important? How They Work Manufacturing Challenges Manufacturing.
Lecture 24a, Slide 1EECS40, Fall 2004Prof. White Lecture #24a OUTLINE Device isolation methods Electrical contacts to Si Mask layout conventions Process.
Dept. of Electrical and Computer Engineering The University of Texas at Austin E-Beam Lothography Stencil Planning and Optimization wit Overlapped Characters.
Introduction to Prototyping Using PolyMUMPs
Block Copolymer Micelle Nanolithography Roman Glass, Martin Moller and Joachim P Spatz University of Heidelberg IOP Nanotechnology (2003) Erika Parra EE235.
Proximity Effect in Electron Beam Lithography
E-Beam Lithography Antony D. Han Chem 750 U of Waterloo
LITHOGRAPHY IN THE TOP-DOWN PROCESS - NEW CONCEPTS
E-beam Size-Dependent Self- Assembly Protein Array.
Ferroelectric Nanolithography Extended to Flexible Substrates Dawn A. Bonnell, University of Pennsylvania, DMR Recent advances in materials synthesis.
Center for Materials for Information Technology an NSF Materials Science and Engineering Center Optical Lithography Lecture 13 G.J. Mankey
Layout Small-Angle Rotation and Shift for EUV Defect Mitigation
ISAT 436 Micro-/Nanofabrication and Applications Photolithography David J. Lawrence Spring 2004.
Low Mass Rui de Oliveira (CERN) July
Jaehyun Park EE235 Student presentation / Mar 09, 2009.
Lithography in the Top Down Method New Concepts Lithography In the Top-Down Process New Concepts Learning Objectives –To identify issues in current photolithography.
Lithography and Electrodeposition
Yongshik Park EE235 04/14/08. Nanoimprint Lithography (1994) Imprint mold with 10nm diameter pillars 10nm diameter holes imprinted in PMMA 10nm diameter.
Shadow Nanosphere Lithography Peter J. Shin Department of Bioengineering.
El-Mul Technologies Ltd – Confidential & Proprietary El-Mul Technologies El-Mul Technologies Ltd – Confidential & Proprietary Prof. Eli Cheifetz, Chairman.
1 Synthesis, Assembly, and Processing of Nanostructures Rational You ITRI-IEK-NEMS 2001/08/01 Source: IWGN (1999/09)
Pinning Effect on Niobium Superconducting Thin Films with Artificial Pinning Centers. Lance Horng, J. C. Wu, B. H. Lin, P. C. Kang, J. C. Wang, and C.
1 Double-Patterning Aware DSA Template Guided Cut Redistribution for Advanced 1-D Gridded Designs Zhi-Wen Lin and Yao-Wen Chang National Taiwan University.
NANO-Lithography Name : DEKONG ZENG EE235 Spring 2007
Date of download: 9/17/2016 Copyright © 2016 SPIE. All rights reserved. The direct self-assembly (DSA)-aware mask synthesis flow. Three functions are unique.
Date of download: 9/20/2016 Copyright © 2016 SPIE. All rights reserved. Top view of the studied mask and the splitting strategy for the investigated LELE.
Date of download: 11/12/2016 Copyright © 2016 SPIE. All rights reserved. A sketch of a micro four-point probe with integrated CNTs in situ grown from nickel.
Development of Large-Area Photo-detectors:
Fabrication of Photonic Crystals devices Hamidreza khashei
Lecture 7 Fundamentals of Multiscale Fabrication
UV-Curved Nano Imprint Lithography
Plasma-only etching strategy for PS-b-PMMA nanostructures
Fabrication of Nano-porous Templates Using Molecular Self-Assembly of Block Copolymers for the Synthesis of Nanostructures Luke Soule, Jason Tresback Center.
Biological nanocomposite materials
Multilevel resistive switching memory based on GO/MoS2/GO stack
Top-down and Bottom-up Processes
Directed Self Assembly of Block Copolymers
Lecture #25 OUTLINE Device isolation methods Electrical contacts to Si
LITHOGRAPHY Lithography is the process of imprinting a geometric pattern from a mask onto a thin layer of material called a resist which is a radiation.
Design and fabrication of a wafer-scale organic printed photonic chip
Presentation transcript:

Physical Layout Design of Directed Self- Assembly Guiding Alphabet for IC Contact Hole/Via Patterning H.-S. Philip Wong, Linda He Yi, Maryann C. Tung, Kye Okabe Dept. Electrical Engineering & Stanford SystemX Alliance Stanford University

What is Block Copolymer Self-assembly? Polymer A Polymer B Block Copolymer http://spectrum.ieee.org/semiconductors/nanotechnology/selfassembly-takes-shape

What is Block Copolymer Directed Self-assembly (DSA)? Sub-20 nm feature size Sub-40 nm pitch Low cost High throughput R. Ruiz…P. Nealey, Science 321, 936 (2008) [Hitachi, Wisconsin]

C. Tang … C. Hawker, Science, p. 429 (2008). [UCSB] J.W. Jeong...C.A. Ross., Nano Lett. 2011, 11, 4095–4101 [MIT] A. Tavakkoli. K. G, Science, vol. 336 (2012). [MIT] H. Tsai et al., ACS Nano. 2014, 8, 5, 5227–5232 [IBM]

What can directed self-assembly (DSA) do?

Lithography is the Bottleneck of Scaling Stringent requirements for smaller technology node: CD and pitch Limited lithography resolution Higher cost Traditional lithography uses ultraviolet light to draw and define those tiny features, lines and dots of the transistors. As devices is getting smaller, lithography becomes the bottleneck because the wave length of ultraviolet light is limited. To extend that limit people have put in a lot of effort, and we end up with bigger lithography tools and higher prices. The most advanced lithography tool is much larger than a conference room, and costs more than 100M dollars.

Alternative Lithography Solution is a MUST Cost Throughput Resolution EUV lithography Multiple Patterning E-beam direct write Directed Self-Assembly (DSA) But why are semiconductor foundries not using it today?

What we have: What we need: contact Metal 1 Poly Active Region

Process Compatibility Defectivity Control Design Rules Periodic Large area Uniform Aperiodic Position Control Process Compatibility Defectivity Control Design Rules contact Metal 1 Poly Active Region

Goal Prepare DSA as the next generation lithography for contact hole patterning contact Metal 1 Poly Active Region

From Materials to CAD Design Goal: Prepare DSA as the next generation lithography for contact hole patterning Layout Optimization DSA Assist Features Design Design Rules for DSA DSA Contact Patterning General Design Strategy DSA contact patterning demonstration Flexibly control of aperiodic DSA patterns DSA design space Alphabet concept Aperiodic DSA patterns

Guiding Templates  Aperiodic DSA Patterns Physical Confinement PMMA PS PS-b-PMMA Infinite periodic Boundary periodic 1-hole DSA pattern Black dots: PMMA Gray surrounding: PS Top surface 100 nm R. Ruiz, Science 321, 936 (2008); L.-W. Chang, IEDM , p. 879, (2009)

Process Flow PS-b-PMMA Dissolved in PGMEA Spin coating Si PS is left as a resist mask for pattern transfer Thermal Annealing Deep UV radiation Soaked in Acetic Acid PMMA cylinder removal PMMA PS

Flexible Control of Aperiodic DSA Patterns Control Knobs: Template shape & size Template density 200nm 75nm 60x110nm 200nm 70x145nm 126 nm 136 nm 200nm 200nm Square lattice Rhombic lattice H. Yi, et al., Adv. Mater. 2012

DSA Design Space Longer template leads to larger DSA hole pitch  2-hole turn into 3-hole Very high density High density Low density Very low density H. Yi, et al., Nano Letters, 2015

DSA Design Space Longer template leads to larger DSA hole pitch  2-hole turn into 3-hole For different template density, either 2-hole or 3-hole pattern may appear Very high density High density Low density Very low density H. Yi, et al., Nano Letters, 2015

From Materials to CAD Design Layout Optimization DSA Assist Features Design Design Rules for DSA DSA Contact Patterning General Design Strategy DSA contact patterning demonstration Flexibly control of aperiodic DSA patterns DSA design space Alphabet concept Aperiodic DSA patterns

DSA Guiding Template Design Strategy Contact layout Lithography Resolution BCP Max pitch Contact Min pitch Lithography Resolution BCP Max pitch Contact Min pitch Lithography Resolution BCP Max pitch Contact Min pitch 1st strategy: 1-hole templates for each contact 2nd strategy: Peanut-shaped templates for closely positioned contacts 3rd strategy: Multiple-hole templates for closely positioned contacts H. Yi, et al., Nano Letters, 2015

DSA Guiding Template Design Strategy Contact layout Technology Node Small Large H. Yi, et al., Nano Letters, 2015

DSA Contact Patterning Demonstration 7 nm HA-X1 11 nm 14 nm 200 nm H. Yi, et al., Nano Letters, 2015

From Materials to CAD Design Layout Optimization DSA Assist Features Design Design Rules for DSA DSA Contact Patterning General Design Strategy DSA contact patterning demonstration Flexibly control of aperiodic DSA patterns DSA design space Alphabet concept Aperiodic DSA patterns

How Many Guiding Template Shapes Needed? In a standard cell library, there are more than 100 standard cells On a full chip contact layer, these cells are placed-and-routed many, many times There are many repeating closely placed contact configurations Inside the yellow circle is what we called “Peanut Shape” Y. Du, H. Yi, et al., ICCAD 2013

Peanut Shape Template Needed When max DSA hole pitch < contact pitch < litho resolution Contact layout ① ② X  Guiding template design DSA result 64 nm 4 nm H. Yi, et al., Nano Letters, 2015

DSA Alphabet – Only Need a Limited Template Set There exists a set of guiding templates which could cover and compose the desired full chip contact layer …Just like the alphabets!

DSA-Aware Contact Layer Optimization Complex shapes are hard to print by lithography The neck of peanut shape is not preferrable Y. Du, H. Yi, et al., ICCAD 2013 Collaboration with Prof. Martin Wong (UIUC)

DSA-Aware Contact Layer Optimization Letter Cost Function Y. Du, H. Yi, et al., ICCAD 2013 Letter size Number of peanut pairs Collaboration with Prof. Martin Wong (UIUC)

Flexible Control of DSA Patterns Control Knobs: Template shape & size Template density 200nm 75nm 60x110nm 200nm 70x145nm 126 nm 136 nm 200nm 200nm Square lattice Rhombic lattice H. Yi, et al., Adv. Mater, 2012

Sub DSA-Resolution Assist Feature (SDRAF) No SDRAF Scale bar: 150 nm H. Yi, et al., SPIE 2015

Effectiveness of SDRAF: Center Images Empty templates DSA result in the center Zoom-out view Template pitch: 150 nm Oval template size: 82 nm x 53 nm No SDRAF SDRAF size: 40 nm Both DSA results in the center look good Scale bar: 150 nm H. Yi, et al., SPIE 2015

Effectiveness of SDRAF: Corner Images Left corner Zoom-out view Right corner No SDRAF: 54 DSA contacts missing With SDRAF: Zero DSA contacts missing Scale bar: 150 nm H. Yi, et al., SPIE 2015

Highlights Generate and control aperiodic DSA patterns First demo: demonstrate DSA contact patterning for 14 nm, 11 nm and 7 nm node First demo: DSA alphabet concept Scale bar: 100 nm 14 nm 200 nm 11 nm 7 nm

DSA Aperiodic DSA pattern DSA Contact Patterning Design Rules for DSA Block Copolymer Aperiodic DSA pattern DSA Contact Patterning 14 nm 200 nm 11 nm 7 nm Design Rules for DSA DSA-Aware Layout Optimization H. Yi, et al., Nano Letters, 2015 Y. Du, H. Yi, et al., ICCAD, 2014 H. Yi, et al., Adv. Mater., 2012

Collaborators & Sponsors

DSA Aperiodic DSA pattern DSA Contact Patterning Design Rules for DSA Block Copolymer Aperiodic DSA pattern DSA Contact Patterning 14 nm 200 nm 11 nm 7 nm Design Rules for DSA DSA-Aware Layout Optimization H. Yi, et al., Nano Letters, 2015 Y. Du, H. Yi, et al., ICCAD, 2014 H. Yi, et al., Adv. Mater., 2012

What causes template density influence? Template density variation results in different fill levels, causing local film thickness variation Polymer not overfilled Polymer overfilled Template Cross section Template Top view SEM 200 nm 200 nm High density Low density H. Yi, et al., SPIE 2015

Sub DSA Resolution Assist Feature (SDRAF) Polymer not overfilled Template Polymer overfilled SDRAF Template Polymer not overfilled Template SDRAF: Small templates to balance low contact density Will not generate transferrable DSA patterns

SDRAF: Failed Case Large SDRAF will generate DSA patterns and result in extra holes in pattern transfer SDRAF size need to be controlled carefully SDRAF size: 55 nm Scale bar: 300 nm H. Yi, et al., SPIE 2015