Networks on Chip : a very quick introduction! Jeremy Chan 11 May 2005.

Slides:



Advertisements
Similar presentations
QuT: A Low-Power Optical Network-on-chip
Advertisements

A Novel 3D Layer-Multiplexed On-Chip Network
International Symposium on Low Power Electronics and Design Energy-Efficient Non-Minimal Path On-chip Interconnection Network for Heterogeneous Systems.
Presentation of Designing Efficient Irregular Networks for Heterogeneous Systems-on-Chip by Christian Neeb and Norbert Wehn and Workload Driven Synthesis.
Fault-Tolerant Network-Interface for Spatial Division Multiplexing Based Network-on-Chip By Anup Das.
REAL-TIME COMMUNICATION ANALYSIS FOR NOCS WITH WORMHOLE SWITCHING Presented by Sina Gholamian, 1 09/11/2011.
1 Advancing Supercomputer Performance Through Interconnection Topology Synthesis Yi Zhu, Michael Taylor, Scott B. Baden and Chung-Kuan Cheng Department.
Towards Virtual Routers as a Service 6th GI/ITG KuVS Workshop on “Future Internet” November 22, 2010 Hannover Zdravko Bozakov.
Addressing the System-on-a-Chip Interconnect Woes Through Communication-Based Design N. Vinay Krishnan EE249 Class Presentation.
The Design and Implementation of a Low-Latency On-Chip Network Robert Mullins 11 th Asia and South Pacific Design Automation Conference (ASP-DAC), Jan.
Technion – Israel Institute of Technology Qualcomm Corp. Research and Development, San Diego, California Leveraging Application-Level Requirements in the.
Module R R RRR R RRRRR RR R R R R Efficient Link Capacity and QoS Design for Wormhole Network-on-Chip Zvika Guz, Isask ’ har Walter, Evgeny Bolotin, Israel.
Packet-Switched vs. Time-Multiplexed FPGA Overlay Networks Kapre et. al RC Reading Group – 3/29/2006 Presenter: Ilya Tabakh.
OCIN Workshop Wrapup Bill Dally. Thanks To Funding –NSF - Timothy Pinkston, Federica Darema, Mike Foster –UC Discovery Program Organization –Jane Klickman,
Network based System on Chip Performed by: Medvedev Alexey Supervisor: Walter Isaschar (Zigmond) Winter-Spring 2006.
Network based System on Chip Students: Medvedev Alexey Shimon Ofir Supervisor: Walter Isaschar (Zigmond) Winter-Spring 2006.
MINIMISING DYNAMIC POWER CONSUMPTION IN ON-CHIP NETWORKS Robert Mullins Computer Architecture Group Computer Laboratory University of Cambridge, UK.
Network-on-Chip Examples System-on-Chip Group, CSE-IMM, DTU.
Power Aware Solutions for NoC Architecture Yaniv Ben-Itzhak Noc Seminar Winter 08.
1 Evgeny Bolotin – ICECS 2004 Automatic Hardware-Efficient SoC Integration by QoS Network on Chip Electrical Engineering Department, Technion, Haifa, Israel.
Architecture and Routing for NoC-based FPGA Israel Cidon* *joint work with Roman Gindin and Idit Keidar.
Orion: A Power-Performance Simulator for Interconnection Networks Presented by: Ilya Tabakh RC Reading Group4/19/2006.
Trend towards Embedded Multiprocessors Popular Examples –Network processors (Intel, Motorola, etc.) –Graphics (NVIDIA) –Gaming (IBM, Sony, and Toshiba)
Network-on-Chip: Communication Synthesis Department of Computer Science Texas A&M University.
Performance and Power Efficient On-Chip Communication Using Adaptive Virtual Point-to-Point Connections M. Modarressi, H. Sarbazi-Azad, and A. Tavakkol.
High Performance Embedded Computing © 2007 Elsevier Lecture 16: Interconnection Networks Embedded Computing Systems Mikko Lipasti, adapted from M. Schulte.
Development in hardware – Why? Option: array of custom processing nodes Step 1: analyze the application and extract the component tasks Step 2: design.
Communication Synthesis: Buses and Network-on-Chip (NOC) Dr. Eng. Amr T. Abdel-Hamid ELECT 1002 Spring 2008 System-On-a-Chip Design.
Communication issues for NOC By Farhadur Arifin. Objective: Future system of NOC will have strong requirment on reusability and communication performance.
Introduction to Interconnection Networks. Introduction to Interconnection network Digital systems(DS) are pervasive in modern society. Digital computers.
CSCI-235 Micro-Computer in Science The Network. © Prentice-Hall, Inc Communications  Communication is the process of sending and receiving messages 
CHALLENGING SCHEDULING PROBLEM IN THE FIELD OF SYSTEM DESIGN Alessio Guerri Michele Lombardi * Michela Milano DEIS, University of Bologna.
R OUTE P ACKETS, N OT W IRES : O N -C HIP I NTERCONNECTION N ETWORKS Veronica Eyo Sharvari Joshi.
Design, Synthesis and Test of Network on Chips
SMART: A Single- Cycle Reconfigurable NoC for SoC Applications -Jyoti Wadhwani Chia-Hsin Owen Chen, Sunghyun Park, Tushar Krishna, Suvinay Subramaniam,
High-Level Interconnect Architectures for FPGAs An investigation into network-based interconnect systems for existing and future FPGA architectures Nick.
High-Level Interconnect Architectures for FPGAs Nick Barrow-Williams.
Ob-Chip Networks and Testing1 On-Chip Networks and Testing-II.
CA406 Computer Architecture Networks. Data Flow - Summary Fine-Grain Dataflow Suffered from comms network overload! Coarse-Grain Dataflow Monsoon... Overtaken.
Architectural and Physical Design Optimization for Efficient Intra-Tile Communication Liza Rodriguez Aurelio Morales EEL Embedded Systems Dept.
Network on Chip - Architectures and Design Methodology Natt Thepayasuwan Rohit Pai.
CS 8501 Networks-on-Chip (NoCs) Lukasz Szafaryn 15 FEB 10.
Networks on Chip : a quick introduction Abelardo Jara Jared Bevis Abraham Sanchez March 23 rd, 2009.
RF network in SoC1 SoC Test Architecture with RF/Wireless Connectivity 1. D. Zhao, S. Upadhyaya, M. Margala, “A new SoC test architecture with RF/wireless.
Veronica Eyo Sharvari Joshi. System on chip Overview Transition from Ad hoc System On Chip design to Platform based design Partitioning the communication.
EMBEDDED SYSTEMS ON PCI. INTRODUCTION EMBEDDED SYSTEMS PERIPHERAL COMPONENT INTERCONNECT The presentation involves the success of the widely adopted PCI.
System-level power analysis and estimation September 20, 2006 Chong-Min Kyung.
Axel Jantsch 1 Networks on Chip Axel Jantsch 1 Shashi Kumar 1, Juha-Pekka Soininen 2, Martti Forsell 2, Mikael Millberg 1, Johnny Öberg 1, Kari Tiensurjä.
University of Michigan, Ann Arbor
Networks-on-Chip (NoC) Suleyman TOSUN Computer Engineering Deptartment Hacettepe University, Turkey.
Yu Cai Ken Mai Onur Mutlu
High Performance Embedded Computing © 2007 Elsevier Chapter 7, part 3: Hardware/Software Co-Design High Performance Embedded Computing Wayne Wolf.
Soc 5.1 Chapter 5 Interconnect Computer System Design System-on-Chip by M. Flynn & W. Luk Pub. Wiley 2011 (copyright 2011)
Tufts Wireless Laboratory School Of Engineering Tufts University Paper Review “An Energy Efficient Multipath Routing Protocol for Wireless Sensor Networks”,
By Nasir Mahmood.  The NoC solution brings a networking method to on-chip communication.
Interconnect Networks Basics. Generic parallel/distributed system architecture On-chip interconnects (manycore processor) Off-chip interconnects (clusters.
SCORES: A Scalable and Parametric Streams-Based Communication Architecture for Modular Reconfigurable Systems Abelardo Jara-Berrocal, Ann Gordon-Ross NSF.
Indian Institute of Technology Bombay 1 Communication Networks Prof. D. Manjunath
Towards a Framework to Evaluate Performance of the NoCs Mahmoud Moadeli University of Glasgow.
Onchip Interconnect Exploration for Multicore Processors Utilizing FPGAs Graham Schelle and Dirk Grunwald University of Colorado at Boulder.
Cluster Computers. Introduction Cluster computing –Standard PCs or workstations connected by a fast network –Good price/performance ratio –Exploit existing.
A Low-Area Interconnect Architecture for Chip Multiprocessors Zhiyi Yu and Bevan Baas VLSI Computation Lab ECE Department, UC Davis.
Univ. of TehranIntroduction to Computer Network1 An Introduction to Computer Networks University of Tehran Dept. of EE and Computer Engineering By: Dr.
Multi-cellular paradigm The molecular level can support self- replication (and self- repair). But we also need cells that can be designed to fit the specific.
MPLS Introduction How MPLS Works ?? MPLS - The Motivation MPLS Application MPLS Advantages Conclusion.
System on a Programmable Chip (System on a Reprogrammable Chip)
Runtime Reconfigurable Network-on- chips for FPGA-based systems Mugdha Puranik Department of Electrical and Computer Engineering
Network-on-Chip Paradigm Erman Doğan. OUTLINE SoC Communication Basics  Bus Architecture  Pros, Cons and Alternatives NoC  Why NoC?  Components 
EE384Y: Packet Switch Architectures Scaling Crossbar Switches
OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel
Presentation transcript:

Networks on Chip : a very quick introduction! Jeremy Chan 11 May 2005

Overview of Talk Introduction –SoC Design Trends (communication centric design) Communication Centric Design –Application Modeling –Energy Modeling –NoC Optimization Conclusions

SoC Design Trends Focus on communication-centric design –Poor wire scaling –High Performance –Energy efficiency Communication architecture large proportion of energy budget Source: Ron Ho, Stanford 1999 Source: Kanishka Lahiri 2004

SoC Design Trends MPSoC: STI Cell –Eight Synergistic Processing Elements –Ring-based Element Interconnect Bus 128-bit, 4 concentric rings Interconnect delays becoming important –Pentium 4 has two dedicated drive stages to transport signals across chip Source: Pham et al ISSCC 2005

The SoC nightmare The architecture is tightly coupled Source: Prof Jan Rabaey CS UC Berkeley DMACPUDSP Mem Ctrl. Bridge MPEG Ioo The “Board-on-a-Chip” Approach C System Bus Control Wires Peripheral Bus

On-chip Communication Bus based interconnect –Low cost –Easier to Implement –Flexible Networks on Chip –Layered Approach –Buses replaced with Networked architectures Better electrical properties Higher bandwidth Energy efficiency Scalable Irregular architectures Regular Architectures Bus-based architectures

Network on Chip Networks on Chip –Layered Approach –Buses replaced with Networked architectures Better electrical properties Higher bandwidth Energy efficiency Scalable Software Transport Network Wiring Separation of concerns Queuin g Theory Traffic Modeling Architect ures Networking

Regular Network on Chip PE Router

Typical NoC Router LC Crossbar Switch LC FC Routing Arbitration LCFC

NoC Issues Application Specific Optimization –Buffers –Routing –Topology –Mapping to topology –Implementation and Reuse Irregular architectures Regular Architectures LC Crossbar Switch LC FC Routing Arbitration LCFC

NoC Issues Architecture –QoS Support –What topology will suit a particular application? Fault tolerance –Gossiping architectures o1o2o3o4 -I1-- -I3-I o1o2o3o I X BQ GQ arbiter … slot table BQ GQ

Communication Centric Design ApplicationArchitecture Library Architecture / Application Model Good? Evaluate Analyse / Profile Configure Refine NoC Optimisation No Synthesis Optimized NoC

How are application described? Few multiprocessor embedded benchmarks Task graphs –Extensively used in scheduling research Each node has computation properties Directed edge describes task dependences Edge properties has communication volume SRC FFT FIR SINK matrix IFFT angle ARM:2.5ms PPC: 2.2ms

Simplifying Application Model With simple energy model, E bit = n hops x E Sbit + (n hops – 1) x E Lbit –n hops proportional to energy consumption –Can abstract communication design problem to PE1PE2 PE3

Simple Router Energy Models Hu et al assume: E bit = E Sbit + E Bbit + E Wbit + E Lbit Simplifying assumptions: –Buffer implemented using latches and flip- flops –Negligible Internal wire energy => E bit = E Sbit + E Bbit + E Wbit + E Lbit Router to Router Energy (minimal routing) –E bit = n hops x E Sbit + (n hops – 1) x E Lbit

Energy-Aware Task mapping Reduce Energy Consumption by placing Addressed by Hu et al 2002: –Given a CTG and a heterogenous NoC Find: –A mapping function M : tasks(T) => PEs (P) –Assuming the tasks are already scheduled and partitioned Solution formulated as a quadratic assignment problem and solved using Branch and Bound with heuristics

Energy Model Limitations Ignore: –Static energy i.e. leakage power –Clock energy – flip flops, latches need to be clocked Buffering Energy is not free –can consume 50-80% of total communication architecture depending on size and depth of FIFOs

NoC Generation Given a parameterized NoC architecture and library of NoC components, generate a synthesizable HDL model. Graph Representation Routing Algorithm Arbiters Flow Control NoC Generation HDL Libraries Communication Architecture (VHDL) RR R H H H

NoC Generation Most packet switched routers contain similar components that are connected Can be easily modularized to allow automatic generation

Typical NoC Router LC Crossbar Switch LC FC Routing Arbitration LCFC

Current Research Irregular Topology Generation –Formulated as MILP problems –Genetic algorithm Solution Buffer Allocation Problem –Assumed Poisson Distributed Traffic –Used Queuing Theory to Determine Ideal Buffering for Ports => non uniform buffering depths Integrated solution to optimization problems

Summary NoC is an exciting research area that will lead to an paradigm shift in SoC design. NoC research is still in infancy –Many open research problems –Need better application and traffic models, new optimization techniques New Power, Performance, Traffic Models being developed

Thank You