MS-SoC Best Practices – Advanced Modeling & Verification Techniques for first-pass success By Neyaz Khan Greg Glennon Dan Romaine.

Slides:



Advertisements
Similar presentations
18 July 2001 Work In Progress – Not for Publication 2001 ITRS Test Chapter ITRS Test ITWG Mike Rodgers Don Edenfeld.
Advertisements

1 System Level Verification of OCP-IP based SoCs using OCP-IP eVC Himanshu Rawal eInfochips, Inc.,4655 Old Ironsides Drive, Suite 385,Santa Clara, CA
The need for AMS assertions Verify the analog/digital interfaces at block and SoC levels –Check properties involving voltages and currents –Check complex.
Xiushan Feng* ASIC Verification Nvidia Corporation Automatic Verification of Dependency 1 TM Jayanta Bhadra
by Adiel Khan Staff CAE Synopsys
February 28 – March 3, 2011 Stepwise Refinement and Reuse: The Key to ESL Ashok B. Mehta Senior Manager (DTP/SJDMP) TSMC Technology, Inc. Mark Glasser.
How to Accelerate the Analog Design Verification Flow Itai Yarom Senior Verification Expert Synopsys.
Information Processing & Digital Systems COE 202 Digital Logic Design Dr. Aiman El-Maleh College of Computer Sciences and Engineering King Fahd University.
© 2015 Synopsys, Inc. All rights reserved.1 Timing Analysis in a Mixed Signal World TAU Workshop Panel Session Jim Sproch March 12, 2015.
Oscilloscope Watch Teardown. Agenda History and General overview Hardware design: – Block diagram and general overview – Choice of the microcontroller.
Theoretical Program Checking Greg Bronevetsky. Background The field of Program Checking is about 13 years old. Pioneered by Manuel Blum, Hal Wasserman,
Who’s Watching the Watchmen? The Time has Come to Objectively Measure the Quality of Your Verification by David Brownell Design Verification Analog Devices.
Universal Verification Methodology (UVM) Benefits Mustafa Khairallah Boost Valley Boost Valley Consulting 1.
Copyright 2001, Agrawal & BushnellDay-1 AM Lecture 11 Design for Testability Theory and Practice January 15 – 17, 2005 Vishwani D. Agrawal James J. Danaher.
Design For Verification Synopsys Inc, April 2003.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 11 Lecture 1 Introduction n VLSI realization process n Verification and test n Ideal and real tests.
1 Design For Debug Using DAFCA system Gadi Glikberg 15/6/06.
Fundamentals of Simulation-Based Verification 1.Structure of a Testbench - stimulus, checkers, etc. 2.Observation and Assertions - automatic checking of.
Copyright 2001, Agrawal & BushnellDay-1 AM-1 Lecture 11 Testing Analog & Digital Products Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical.
EE694v-Verification-Lect5-1- Lecture 5 - Verification Tools Automation improves the efficiency and reliability of the verification process Some tools,
Matlab as a Design Environment for Wireless ASIC Design June 16, 2005 Erik Lindskog Beceem Communications, Inc.
Principle of Functional Verification Chapter 1~3 Presenter : Fu-Ching Yang.
Churning the Most Out of IP-XACT for Superior Design Quality Ayon Dey Lead Engineer, TI Anshuman Nayak Senior Product Director, Atrenta Samantak Chakrabarti.
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Guest Lecture by Ben Magstadt CprE 281: Digital Logic.
© 2005 The MathWorks, Inc. Advanced Technologies to Accelerate Mixed Signal Simulation Pieter J. Mosterman Senior Research Scientist The MathWorks, Inc.
TM Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective.
Copyright 2001, Agrawal & BushnellLecture 1 Introduction1 VLSI Testing Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical and Computer Engineering.
Guest Lecture by Ben Magstadt CprE 281: Digital Logic.
I N V E N T I V EI N V E N T I V E EDA360 - Is End-to-End Design a Riddle, a Rebus, or a Reality? April 6, 2011.
Data Acquisition Systems
Some Course Info Jean-Michel Chabloz. Main idea This is a course on writing efficient testbenches Very lab-centric course: –You are supposed to learn.
Copyright © 2002 Qualis Design Corporation Industry and Textbook Overview Qualis Design Corporation PO Box 4444 Beaverton, Oregon USA Phone:
Using Formal Verification to Exhaustively Verify SoC Assemblies by Mark Handover Kenny Ranerup Applications Engineer ASIC Consultant Mentor Graphics Corp.
SoC Verification HW #2 TA: Wei-Ting Tu Assignment: 04/12/06
1 Extending FPGA Verification Through The PLI Charles Howard Senior Research Engineer Southwest Research Institute San Antonio, Texas (210)
T. Hemperek VERIFICATION OF COMPLEX MIXED SIGNAL ASICS System-Verilog and UVM mini workshop 14 th November 2013.
The First in GPON Verification Classic Mistakes Verification Leadership Seminar Racheli Ganot FlexLight Networks.
Test and Verification Solutions128 th Feb 2012 Test and Verification Solutions How I Learned to Stop Worrying and Love Benchmarking Functional Verification!
Boost Verification Results by Bridging the Hw/Sw Testbench Gap by Matthew Ballance Verification Technologist Mentor Graphics.
IAY 0600 Digital Systems Design VHDL discussion Verification: Testbenches Alexander Sudnitson Tallinn University of Technology.
1 Extending FPGA Verification Through The PLI Charles Howard Senior Research Engineer Southwest Research Institute San Antonio, Texas (210)
Engineering H192 - Computer Programming Gateway Engineering Education Coalition Lab 1P. 1Winter Quarter Data Acquisition System Fundamentals Lab 1.
SVA Encapsulation in UVM enabling phase and configuration aware assertions by Mark Litterick Verification Consultant Verilab GmbH, Munich, Germany.
Verification work Arild Velure. Goals As only part of the final functionality has been implemented for this MPW1, the focus for the testing has.
Lecture 1 – Overview (rSp06) ©2008 Joanne DeGroat, ECE, OSU -1- Functional Verification of Hardware Designs EE764 – Functional Verification of Hardware.
Low Power, High-Throughput AD Converters
Low Power, High-Throughput AD Converters
CprE 281: Verilog Tutorial Ben Magstadt – Master’s Student Electrical Engineering.
Architecture optimization and design verification of the Timepix3 and the Velopix pixel ASICs Tuomas Poikela (TUCS/CERN) SV/UVM Mini workshop.
Lecture 1 – Overview (rSp06) ©2008 Joanne DeGroat, ECE, OSU -1- Functional Verification of Hardware Designs EE764 – Functional Verification of Hardware.
Low Power, High-Throughput AD Converters
1 Summary Lecture: Part 1 Sensor Readout Electronics and Data Conversion Discovering Sensor Networks: Applications in Structural Health Monitoring.
Digital Signal Processor HANYANG UNIVERSITY 학기 Digital Signal Processor 조 성 호 교수님 담당조교 : 임대현
EE694v - Verification - Lect 12
Gopakumar.G Hardware Design Group
Beam Wire Scanner (BWS) serial link requirements and architecture
Mixed-Digital/Analog Simulation and Modeling Research
EEE2135 Digital Logic Design Chapter 1. Introduction
Digital System Verification
LESSON 20.
Pre-verified IP? Metrics and methods to determine if your IP has been pre-verified’ Moshik Rubin.
Real Number Modeling Enables Fast, Accurate Functional Verification
Matlab as a Design Environment for Wireless ASIC Design
Verification Reuse Simple (relatively) reuse: Difficult reuse
SystemVerilog for Verification
Srinivas Aluri Jaimin Mehta
SystemVerilog and Verification
by Neyaz Khan Senior PMTS Maxim Integrated Products
Presentation transcript:

MS-SoC Best Practices – Advanced Modeling & Verification Techniques for first-pass success By Neyaz Khan Greg Glennon Dan Romaine

Sponsored By: 2 of 14 Mixed-Signal Verification Challenges Traditional Mixed-Signal Verification Methodology –Well defined & mature on the Digital side – MDV, UVM –Performance & throughput challenges on Analog side Mixed Signal Verification has many Challenges: –Connectivity/Interconnect bug escapes –Digital/Analog interaction bug escapes –Spec vs Functionality Mismatch –Lack of clearly defined Verification Metrics

Sponsored By: 3 of 14 Mixed-Signal Verification Approach Adopt proven digital centric techniques from digital verification –Use Verification Plan to drive Verification effort –Collect Verification Metrics –Constrained Random Stimulus –Automated Checkers using Assertions –Structured test-benches Analog Modeling – Real Number Models –Use Wreals –Used in top-level verification

Sponsored By: 4 of 14 Real Number Modeling for Analog Enable high-speed simulation of analog/mixed-signal blocks by using real (floating-point, continuous) values in discrete time Removes the analog solver dependency from mixed signal verification Can be written by analog designers and/or digital verification engineers RNM languages include –Wreal (part of Verilog-AMS, runs on digital engine) –VHDL –SystemVerilog

Sponsored By: 5 of 14 High Performance Simulation with Real Number Modeling Simulate all possible conversions of 14-bit ADC + 14 bit DAC –Number of conversions = 2**14 = steps –Spice sim takes days; RNM takes seconds “Analog” Real Input “Analog” Real Output Bit 0 Bit 13 V(in) V(out)

Sponsored By: 6 of 14 MS-SoC Verification using Real Number Models Spec s Verification Planning vPlan Analog Design & Verification Real Number Models (wreals) Model Verification Digital wreal Analog Model RF TX/RX FM TX/RX Bluetooth Modem Comm. Processor GPU DSP Application Processor VideoAudio TV LCD Driver USB DDR3MemoryEMIF PMU PLL Plan Driven Advanced Verification Env. UVM with System Verilog TB; MS Assertions; Coverage; Random Stimulus; Automated Checkers; Metrics in vPlan Executable Verif Plan RF TX/RX FM TX/RX Bluetooth Modem Comm. Processor GPU DSP Application Processor VideoAudio TV LCD Driver USB DDR3MemoryEMIF PMU PLL

Sponsored By: 7 of 14 Mixed Signal Metric Driven Verification (MS-MDV) A way to address the functional verification gap between analog and digital environments –Unifies testbench, stimulus, and checking across A/D boundaries –Aimed at improving productivity, predictability, and quality –Takes advantage of proven verification methodologies: UVM applied to MS  UVM-MS Track analog functional correctness using metrics –Measure: voltage, current, frequency, gain over time –Assertion checks (expression can include electrical, real, logic) Metrics are stored in the Coverage database Metrics [Digital + Analog] annotated from Spec and Tracked in vPlan

Sponsored By: 8 of 14 Drv Mon Seq Config UVC Virtual Sequencer Scoreboard Reference Model Test Seq RF TX/RX FM TX/RX Bluetooth Modem Comm. Processor GPU DSP Application Processor VideoAudio TV LCD Driver USB DDR3MemoryEMIF PMU PLL Analog UVC Drv Mon Seq Confi g Drv Mon Seq Confi g Drv Mon Seq Confi g Drv Mon Seq Config Drv Mon Seq Confi g Drv Mon Seq Confi g Drv Mon Seq Confi g Drv Mon Seq Config Analog UVC Drv Mon Seq Config UVC Digital wreal Model Legend : MS-SoC Verification Environment: Applying UVM based Verification to MS Designs: UVM-MS

Sponsored By: 9 of 14 Plan Driven Verification: Example – Verification of Analog ADC Features in the spec are highlighted and mapped to planned coverage Issue: The ADC average results not using the correct number of samples. How was this found? –It starts by planning the coverage for this feature –In vPlan

Sponsored By: 10 of 14 Next the coverage is defined in the environment and mapped back to the planned element in the vPlan Plan Driven Verification: Example – Verification of Analog ADC Coverage is created within the test environment. These results are then pulled into ePlanner. Coverage is created within the test environment. These results are then pulled into ePlanner. Within ePlanner this coverage is mapped back to the planned coverage.

Sponsored By: 11 of 14 Plan Driven Verification: Example – Verification of Analog ADC The vPlan now ensures the function was fully tested –But what verifies whether it is correct? The answer is the reference model! Real number voltage values seen on the port pins are stored in a queue

Sponsored By: 12 of 14 Plan Driven Verification: Example – Verification of Analog ADC The reference model checks the number of samples in the queue and calculates the expected ADC average result The reference model will error if the number of samples is too small Voltage samples are retrieved from the queue and used to calculate a rolling average The final average is then checked against the value in the DUT to be within a fixed margin of error (quantization error) The reference model will flag an error if the check fails

Sponsored By: 13 of 14 Take away: This type of failure would be impossible to catch with visual inspection –Impossible to reliably count 64 ADC samples that access the particular port being checked by visual inspection. –Random voltage stimulus provides a second check that is impossible to visually check Successfully apply UVM-MS to verify MS-SoC Design Plan Driven Verification: Example – Verification of Analog ADC

Sponsored By: 14 of 14 Summary Our Verification team at Maxim has successfully applied UVM-MS to verify complex Mixed-Signal SoC Results have been outstanding The methodology is proven and it really works!