Design AXI Master.

Slides:



Advertisements
Similar presentations
How to Use The 3 AXI Configurations
Advertisements

Lecture 9 Lecture 9: The OPB Bus and IPIF Interface Cores ECE 412: Microcomputer Laboratory.
Fast A/D sampler FINAL presentation
Lecture 101 Lecture 10: Kernel Modules and Device Drivers ECE 412: Microcomputer Laboratory.
Creating and Adding Custom IP
August 8 th, 2011 Kevan Thompson Creating a Scalable Coherent L2 Cache.
Mohammadsadegh Sadri, Christian Weis, Norbert When and Luca Benini
Interrupts, Timer, and Interrupt Controller
Developing Video Applications on Xilinx FPGAs
How to Use the Three AXI Configurations
Efficient Communication Hardware Accelerators and PS
ECE 699: Lecture 5 AXI Interfacing IP Creation.
General Purpose Input Output GPIO ECE 699: Lecture 3.
NETWORK ON CHIP ROUTER Students : Itzik Ben - shushan Jonathan Silber Instructor : Isaschar Walter Final presentation part B Spring 2006.
Reliable Data Storage using Reed Solomon Code Supervised by: Isaschar (Zigi) Walter Performed by: Ilan Rosenfeld, Moshe Karl Spring 2004 Part A Final Presentation.
Configurable System-on-Chip: Xilinx EDK
Workload distribution in satellites Part A Final Presentation Performed by :Grossman Vadim Maslovksy Eugene Instructor:Rivkin Inna Spring 2004.
The Xilinx EDK Toolset: Xilinx Platform Studio (XPS) Building a base system platform.
Workload distribution in satellites Final Presentation Performed by :Grossman Vadim Maslovksy Eugene Instructor:Rivkin Inna Spring 2004.
Reliable Data Storage using Reed Solomon Code Supervised by: Isaschar (Zigi) Walter Performed by: Ilan Rosenfeld, Moshe Karl Spring 2004 Midterm Presentation.
Final Presentation Neural Network Implementation On FPGA Supervisor: Chen Koren Maria Nemets Maxim Zavodchik
Technion Digital Lab Project Performance evaluation of Virtex-II-Pro embedded solution of Xilinx Students: Tsimerman Igor Firdman Leonid Firdman.
Lecture 7 Lecture 7: Hardware/Software Systems on the XUP Board ECE 412: Microcomputer Laboratory.
System Architecture A Reconfigurable and Programmable Gigabit Network Interface Card Jeff Shafer, Hyong-Youb Kim, Paul Willmann, Dr. Scott Rixner Rice.
General Purpose FIFO on Virtex-6 FPGA ML605 board midterm presentation
Students: Oleg Korenev Eugene Reznik Supervisor: Rolf Hilgendorf
Project – Video manipulator (based on Zed Board) Final presentation
Advantages of Reconfigurable System Architectures
OCP: Open Core Protocol Marta Posada ESA/ESTEC June 2006.
Ross Brennan On the Introduction of Reconfigurable Hardware into Computer Architecture Education Ross Brennan
FPGA and ASIC Technology Comparison - 1 © 2009 Xilinx, Inc. All Rights Reserved How to Convert a PLB-based Embedded System to an AXI-based System.
Hardware Design This material exempt per Department of Commerce license exception TSU.
Department of Electrical Engineering Electronics Computers Communications Technion Israel Institute of Technology High Speed Digital Systems Lab. High.
Live Action First Person Shooter Game Patrick Judd Ian Katsuno Bao Le.
© 2004 Xilinx, Inc. All Rights Reserved EDK Overview.
Design and Characterization of TMD-MPI Ethernet Bridge Kevin Lam Professor Paul Chow.
ECE532 Final Project Demo Disparity Map Generation on a FPGA Using Stereoscopic Cameras ECE532 Final Project Demo Team 3 – Alim, Muhammad, Yu Ting.
© 2007 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU Hardware Design INF3430 MicroBlaze 7.1.
ESC499 – A TMD-MPI/MPE B ASED H ETEROGENEOUS V IDEO S YSTEM Tony Zhou, Prof. Paul Chow April 6 th, 2010.
Computer Organization CT213 – Computing Systems Organization.
Part A Presentation Implementation of DSP Algorithm on SoC Student : Einat Tevel Supervisor : Isaschar Walter Accompanying engineer : Emilia Burlak The.
Wild West. What is our project about? 2- player Gun shooting game Video processing done to detect hand motion Process hand motion to update gun locations.
© 2004 Xilinx, Inc. All Rights Reserved Embedded Processor Design.
PROJECT - ZYNQ Yakir Peretz Idan Homri Semester - winter 2014 Duration - one semester.
A Monte Carlo Simulation Accelerator using FPGA Devices Final Year project : LHW0304 Ng Kin Fung && Ng Kwok Tung Supervisor : Professor LEONG, Heng Wai.
Embedded Network Interface (ENI). What is ENI? Embedded Network Interface Originally called DPO (Digital Product Option) card Printer without network.
© 2004 Xilinx, Inc. All Rights Reserved Adding a Processor System to an FPGA Design.
DDRIII BASED GENERAL PURPOSE FIFO ON VIRTEX-6 FPGA ML605 BOARD PART B PRESENTATION STUDENTS: OLEG KORENEV EUGENE REZNIK SUPERVISOR: ROLF HILGENDORF 1 Semester:
2/19/2016http://csg.csail.mit.edu/6.375L11-01 FPGAs K. Elliott Fleming Computer Science & Artificial Intelligence Lab Massachusetts Institute of Technology.
Recen progress R93088 李清新. Recent status – about hardware design Finishing the EPXA10 JPEG2000 project. Due to the DPRAM problem can’t be solved by me,
Final Presentation Hardware DLL Real Time Partial Reconfiguration Management of FPGA by OS Submitters:Alon ReznikAnton Vainer Supervisors:Ina RivkinOz.
Onchip Interconnect Exploration for Multicore Processors Utilizing FPGAs Graham Schelle and Dirk Grunwald University of Colorado at Boulder.
ECE 699: Lecture 6 AXI Interfacing Using DMA & AXI4-Stream.
Design with Vivado IP Integrator
Aditya Dayal M. Tech, VLSI Design ITM University, Gwalior.
Presented By Aditya Dayal ITM University, Gwalior.
System on a Programmable Chip (System on a Reprogrammable Chip)
General Purpose Input Output GPIO ECE 699: Lecture 4.
© Copyright 2013 Xilinx. Zynq Development Flow to Accelerate C Code.
Embedded Design with The PPC 440 Processor Core
Maj Jeffrey Falkinburg Room 2E46E
PCIe control interface for user logic.
The Complete Solution for Cost-Effective PCI & CompactPCI Implementations 1.
Ming Liu, Wolfgang Kuehn, Zhonghai Lu, Axel Jantsch
Simple Hardware Design
Avalon Switch Fabric.
FPro Bus Protocol and MMIO Slot Specification
Lab3 Adding Custom IP Lab: MicroBlaze
Portable SystemC-on-a-Chip
Øyvind Hagen Senior Hardware Developer
Presentation transcript:

Design AXI Master

Agenda Understanding Zynq AXI Master IP axi_user_npi © Copyright 2012 Xilinx

What is AXI? AXI is Part of AMBA: Advanced Microcontroller Variations of AXI © Copyright 2012 Xilinx

Variations of AXI AXI4 AXI4-Lite: AXI4-Stream: Memory mapped Register mapped AXI4-Stream: Streaming © Copyright 2012 Xilinx

Too COMPLEX…. Why Xilinx Choose AXI? 1 2 3 4 6 5 7 8 BRAM Microblaze MPMC LMB 2 External Memory Xilinx Cache Link Too COMPLEX…. 3 VFBC Video 4 TEMAC Local Link 6 Hardware Accelerator 5 FSL NPI Custom IP PLBv46 7 GPIO Timer SRAM UART PLB to IPIF Bridge 8 Custom IP IPIF Page 5 © Copyright 2012 Xilinx

Too Simple …. Why Xilinx Choose AXI? 1 2 2 2 2 2 2 3 BRAM Microblaze MPMC LMB 2 External Memory AXI Too Simple …. 2 AXI Video 2 TEMAC AXI 2 Hardware Accelerator 2 AXI AXI Custom IP AXI 2 GPIO Timer SRAM UART AXI to IPIF Bridge 3 Custom IP IPIF Page 6 © Copyright 2012 Xilinx

AXI Protocol, Channel Channel Read address Write address Read data Write data Write response © Copyright 2012 Xilinx

Best for memory access AXI Protocol, AXI4 Single address multiple data Burst up to 256 data beats Data width parameterizable 32, 64, 128, 256 AXI4 READ AXI4 Write Best for memory access © Copyright 2012 Xilinx

Best for register access AXI Protocol, AXI4_LITE Single address Single data No Burst Data width 32 AXI4-Lite Read Best for register access AXI4-Lite Write © Copyright 2012 Xilinx

AXI Protocol, AXI4_STREAM No address channel Not read and write, always just master to slave Unlimited burst length Best for video, audio © Copyright 2012 Xilinx

ZYNQ High Performance Port General Performance Port AXI Master AXI Slave Master Slave Master © Copyright 2012 Xilinx

How to Design AXI Master CIP Select AXI type Select Master © Copyright 2012 Xilinx

How to Design AXI Master, example MPD Default parameter PAO Order for synthesis TEST_IP.VHD Top level USER_LOGIC.VHD User logic

How to Design AXI Master User_logic.vhd Control Burst Transaction

Why Design AXI Master To access DDRx Memory Why don’t you use BRAM? Because BRAM is EASY But BRAM is TOO SMALL DDRx is LARGE But DDRx is not EASY Zynq Bram 220KB ~ 2180KB DDRx max 1GB

FIFO Instead of IPIC Remove complexity… Remove Complexity DDRx IPIC & State Machine FIFO User Logic © Copyright 2012 Xilinx

Master IP with FIFO, axi_user_npi IP catalog Bus Port © Copyright 2012 Xilinx

Master IP with FIFO, axi_user_npi component system is port ( ... wr_fifo_wr_en : in std_logic; wr_fifo_clk : in std_logic; npi_wr_ready : out std_logic; rd_fifo_rd_en : in std_logic; npi_rd_ready : out std_logic; wr_fifo_data : in std_logic_vector(31 downto 0); rd_fifo_clk : in std_logic; rd_fifo_full : out std_logic; rd_fifo_data : out std_logic_vector(31 downto 0); rd_fifo_empty : out std_logic; wr_fifo_full : out std_logic; wr_fifo_empty : out std_logic ); end component; User logic interface Simple It is FIFO © Copyright 2012 Xilinx

Master IP with FIFO, axi_user_npi void npi_stop() { } void npi_start() void axi_npi_rd(int reg, int src, int cnt_burst, int cnt_repeat) void axi_npi_wr(int reg, int src, int cnt_burst, int cnt_repeat) void axi_npi_reset0() int npi_status(int reg) How to Run? Device Driver Read Start add Read Burst Cnt Repeat Cnt Write © Copyright 2012 Xilinx

Simulation Result Burst Write Burst Read © Copyright 2012 Xilinx

Q&A © Copyright 2012 Xilinx