Instructor: Yuzhuang Hu Course Website The first lab is ready. The first assignment will be released.

Slides:



Advertisements
Similar presentations
Sequential Circuits Storage elements
Advertisements

State-machine structure (Mealy)
EE 5900 Advanced Algorithms for Robust VLSI CAD, Spring 2009 Sequential Circuits.
COE 202: Digital Logic Design Sequential Circuits Part 1 Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office: Ahmad Almulhem, KFUPM.
A. Abhari CPS2131 Sequential Circuits Most digital systems like digital watches, digital phones, digital computers, digital traffic light controllers and.
1 Fundamentals of Computer Science Sequential Circuits.
Computer Architecture CS 215
Classification of Digital Circuits  Combinational. Output depends only on current input values.  Sequential. Output depends on current input values and.
Sequential Circuits1 DIGITAL LOGIC DESIGN by Dr. Fenghui Yao Tennessee State University Department of Computer Science Nashville, TN.
Synchronous Sequential Logic
Module 12.  In Module 9, 10, 11, you have been introduced to examples of combinational logic circuits whereby the outputs are entirely dependent on the.
CPEN Digital System Design Chapter 5 Sequential Circuits Storage Elements and Sequential Circuit Analysis C. Gerousis © Logic and Computer Design.
Computing Machinery Chapter 5: Sequential Circuits.
Circuits require memory to store intermediate data
Sequential Circuit Analysis & Design Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Dr. Aiman H. El-Maleh.
6/12/20151 Sequence Detectors Lecture Notes – Lab 4 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
Overview Sequential Circuit Design Specification Formulation
EE 4271 VLSI Design, Fall 2010 Sequential Circuits.
Sequential Circuit Analysis. 2 Synchronous vs. Asynch. Synchronous sequential circuit:  The behavior can be defined from knowledge of its signal at discrete.
Sequential Circuit Analysis. 2 Synchronous vs. Asynch. Synchronous sequential circuit:  the behavior can be defined from knowledge of its signal at discrete.
Sequential logic and systems
Sequential Circuit  It is a type of logic circuit whose output depends not only on the present value of its input signals but on the past history of its.
So far, all of the logic circuits we have studied were basically based on the analysis and design of combinational digital circuits. The other major aspect.
Digital Logic Design CHAPTER 5 Sequential Logic. 2 Sequential Circuits Combinational circuits – The outputs are entirely dependent on the current inputs.
Digital Computer Design Fundamental
Chapter 5 - Part Sequential Circuit Design Design Procedure  Specification  Formulation - Obtain a state diagram or state table  State Assignment.
EE2174: Digital Logic and Lab Professor Shiyan Hu Department of Electrical and Computer Engineering Michigan Technological University CHAPTER 9 Sequential.
Charles Kime & Thomas Kaminski © 2008 Pearson Education, Inc. (Hyperlinks are active in View Show mode) Chapter 5 – Sequential Circuits Part 2 – Sequential.
Chap 4. Sequential Circuits
Sequential Logic Materials taken from: Digital Design and Computer Architecture by David and Sarah Harris & The Essentials of Computer Organization and.
Synchronous Sequential Logic Chapter 5. Digital Circuits Sequential Circuits Combinational circuits contains no memory elements the outputs depends.
Chap 4. Sequential Circuits
ENG241 Digital Design Week #6 Sequential Circuits (Part A)
Instructor: Yuzhuang Hu State-Machine Diagrams contd. (Chapter 5, Section 5-7) Use boolean expressions to simplify the diagram. S0S0 S1S1.
Charles Kime & Thomas Kaminski © 2008 Pearson Education, Inc. (Hyperlinks are active in View Show mode) Chapter 5 – Sequential Circuits Part 2 – Sequential.
CPEN Digital System Design Chapter 5 - Sequential Circuits Sequential Circuit Design C. Gerousis © Logic and Computer Design Fundamentals, 4 rd Ed.,
1 Lecture 22 Sequential Circuits Analysis. 2 Combinational vs. Sequential  Combinational Logic Circuit  Output is a function only of the present inputs.
Introduction to State Machine
Instructor: Alexander Stoytchev CprE 281: Digital Logic.
DLD Lecture 26 Finite State Machine Design Procedure.
Instructor: Yuzhuang Hu Course Timetable Lectures: Wednesday 17:30-20:20, HCC 2510 Labs: Thursday 17:30-18:20, HCC 7050 Midterm, Final:
Analysis and Synthesis of Synchronous Sequential Circuits A “synchronizing” pulse/edge signal (clock) controls the operation of the memory portion of the.
VHDL Discussion Finite State Machines IAY 0600 Digital Systems Design Alexander Sudnitson Tallinn University of Technology 1.
Synchronous Sequential Logic A digital system has combinational logic as well as sequential logic. The latter includes storage elements. feedback path.
Synchronous Sequential Logic Part I
Latches and Flip-Flops
ENG241 Digital Design Week #7 Sequential Circuits (Part B)
Chapter5: Synchronous Sequential Logic – Part 1
Introduction to Sequential Logic Design Finite State-Machine Analysis.
CS151 Introduction to Digital Design Chapter 5: Sequential Circuits 5-1 : Sequential Circuit Definition 5-2: Latches 1Created by: Ms.Amany AlSaleh.
Sequential Logic Circuit Design Eng.Maha Alqubali.
TOPIC : Introduction to Sequential Circuits UNIT 1: Modeling and Simulation Module 4 : Modeling Sequential Circuits.
State Diagrams Tuesday, 12 September State diagram Graphical representation of a state table. –Provides the same information as a state table. –A.
2018/5/2 EE 4271 VLSI Design, Fall 2016 Sequential Circuits.
Flip Flops.
Week #7 Sequential Circuits (Part B)
4.
FIGURE 5.1 Block diagram of sequential circuit
2018/8/29 EE 4271 VLSI Design, Fall 2013 Sequential Circuits.
Sequential Circuits Most digital systems like digital watches, digital phones, digital computers, digital traffic light controllers and so on require.
ECE Digital logic Lecture 16: Synchronous Sequential Logic
Reference: Chapter 5 Sequential Circuits Moris Mano 4th Ediditon
Sequential Circuit Analysis & Design
Finite State Machines (part 1)
Synchronous sequential
Synchronous Sequential
Flip-Flops.
Binary Adder/Subtractor
Sequential Circuits UNIT- IV
2019/9/26 EE 4271 VLSI Design, Fall 2012 Sequential Circuits.
Presentation transcript:

Instructor: Yuzhuang Hu

Course Website The first lab is ready. The first assignment will be released soon.

Processes in VHDL The process is the primitive unit of behavioural description in VHDL. A clock example: entity clock is port (clock : out std_logic); end clock; architecture behav of clock is begin clock_process: process begin clock <= '1', '0' after 30 ns; wait for 30 ns; end process; end behav;

Processes and the Wait Statement Each process is associated with a sensitive list of signals. The body of the process will be executed only when events occur on at least one of the signals in the list. SUMPROC: process ( x, y, c_in) SUMPROC: process begin begin sum <= x xor y xor c_in after 2 ns; wait on x, y, c_in; end process SUMPROC; sum <= x xor y xor c_in after 2 ns; end process SUMPROC;

The Wait Statement The wait statement can be used to pause a process. It has four versions:  wait for 30 ns;  wait on x, y, c_in;  wait until x = ‘1’ and y = ‘1’;  wait;

Hierarchical Circuit Design Top-Down Approach: starting with the objective, finding some good way to break it down to simpler parts. Bottom-Up Approach: designing some low level circuits and building more complex components based on these circuits.

An Example: Adder/Subtractor The circuit looks complicated, but as we have already designed the full adder, we can treat the full adder as a black box. Architecture behav of Adder-Subtractor is signal s1, co1 : std_logic; Begin G1:xor_2 port map(INA=>sub, INB=>y0, Y=>s1); G1:FA port map(X=>x0, Y=>s1, C_in=>sub, SUM=>sum0, C_out=>co1); End behav;

Basic Types of Digital Circuits Combinational Circuits: outputs only depend on current inputs. An output is some function over the inputs. Sequential Circuits: outputs depend on both inputs and the current state of the circuit. Combinational circuit Inputs Storage Elements Outputs Next State Present State

Two Types of Sequential Circuits Asynchronous sequential circuits: the behaviour of such a circuit depends on the inputs at any instant of time and the order in continuous time in which the inputs change. Synchronous sequential circuits: outputs change at discrete times. Combinational Circuit Inputs Storage Elements Outputs Next State Present State Clock

Clocked Sequential Circuits Synchronization is achieved by a clock generator which produces a periodic train of clock pulse. Advantage: its simplicity and reliability. Disadvantage:  Consumption of power and dissemination of heats.  Every logic calculation in the circuit must finish within the clock cycle.

Storing Information A simple solution: connect the output to the input. Information can also be stored by combinational circuits with memory property.

SR Latch The same idea: connect outputs to inputs. R S Q Q RSQQ

SR Latch The same idea: connect outputs to inputs. S R Q Q RSQQ

SR Latch with Control Signals When the control input goes to 1, information from the S and R inputs is allowed to affect the outputs. S R Q Q C

D Latch Eliminate the undesirable undefined state in the SR latch: ensure S and R are never 1 at the same time. D Q Q C

Flip-Flops Latches are transparent: the state transition begins whenever the clock is on. Many latches may be connected together, in this case the final state depends on the length of the clock cycle. Flip-Flops eliminate this transparency.

SR Master-Slave Flip-Flop Two latches are combined such that  The inputs of the Flip-Flop control its state when a clock pulse is present.  The state of the Flip-Flop changes only when a clock pulse is not present. S C R S C R S C R

1s-Catching Behaviour of the SR master-slave Flip-FLop Assume Q is 0 and then the clock turns to 1. Consider a narrow 1-pulse of S after the clock becomes 1. As a result Q will be set to 1. Assume that the pulse is very narrow such that when S comes back to 0, the clock is still 1. Assume R is 0 all the time. Since Q is equal to 0 before the clock pulse and Q=1 after the clock pulse, the flip-flop is in the wrong state as S=R=0 just before the clock goes to 0.

Negative-Edge-Triggered D Flip- Flop 1s-Catching behaviour is eliminated as S and R can not both be 0 in a D Flip-Flop. D C D C S C R

Sequential Circuit Design Specification: write a specification of the circuit. Formulation: obtain a state diagram or a state table. Flip-Flop Input Equation Determination. Output Equation Determination. Optimization. Technology Mapping. Verification

An Example Sequential Circuit

State Equations A state equation specifies the next state as a function of the present state and the inputs. Define A(t) to be the value of A at time t. Then A(t+1) = A(t)x(t) + B(t)x(t), or can be simplified as A(t+1) = Ax + Bx.

State Tables The time sequence of inputs, outputs and states can be enumerated in a stable table. Present StateInputNext StateOutput ABXABY

State Diagram Mealy Model Circuit: The outputs depend on the inputs and the states. States are represented by circles. The input value during the present state precedes the slash, and the value following the slash gives the output value.

Moore Model Moore Model Circuit: The outputs depend only on the states.

A Sequence Recognizer Recognize 1101 from a longer sequence of bits. ABCD 0/01/0 0/0

Designing with D Flip-Flops Encode the states by Gray-Codes. Use a D flip-flop for each bit of the encoded states. Present StateNext StateOutput Z ABX=0X=1X=0X=

State-Machine Diagrams Drawbacks of the Mealy model and the Moore model: impractical for large design. All 2 n combinations of the n input variables must be specified in the diagram for state transitions. All 2 m combinations of the m output variables need to be specified. The Mealy model is very inefficient in specifying outputs.

State-Machine Diagrams contd. Use boolean expressions to simplify the diagram. S0S0 S1S1 S2S2 S3S3 Reset AB A (A+B)/Z A B/Y Z A B Y,Z A B/Y (A+B)/Y Inputs: A, B Outputs: Y, Z Defaults: Y=0,Z=0

Constraints on Input Conditions The transition conditions from a given state S i must be mutually exclusive. The transition conditions from a given state must cover all possible combinations of input values.

Constraints on Output Conditions For every output action in state S i or on its transitions having coincident output variables with differing values, the corresponding pair of output conditions must be mutually exclusive. For every output variable, the output conditions for state S i or its transitions must cover all possible combinations of input values that can occur.

Thanks!