Managing Static (Leakage) Power S. Kaxiras, M Martonosi, “Computer Architecture Techniques for Power Effecience”, Chapter 5.

Slides:



Advertisements
Similar presentations
Reducing Leakage Power in Peripheral Circuits of L2 Caches Houman Homayoun and Alex Veidenbaum Dept. of Computer Science, UC Irvine {hhomayou,
Advertisements

Leakage Energy Management in Cache Hierarchies L. Li, I. Kadayif, Y-F. Tsai, N. Vijaykrishnan, M. Kandemir, M. J. Irwin, and A. Sivasubramaniam Penn State.
University of Michigan Electrical Engineering and Computer Science University of Michigan Electrical Engineering and Computer Science University of Michigan.
Keeping Hot Chips Cool Ruchir Puri, Leon Stok, Subhrajit Bhattacharya IBM T.J. Watson Research Center Yorktown Heights, NY Circuits R-US.
CP208 Digital Electronics Class Lecture 11 May 13, 2009.
Power Reduction Techniques For Microprocessor Systems
Adaptive Techniques for Leakage Power Management in L2 Cache Peripheral Circuits Houman Homayoun Alex Veidenbaum and Jean-Luc Gaudiot Dept. of Computer.
Introduction to CMOS VLSI Design MOS Behavior in DSM.
Introduction to CMOS VLSI Design Lecture 18: Design for Low Power David Harris Harvey Mudd College Spring 2004.
Chuanjun Zhang, UC Riverside 1 Low Static-Power Frequent-Value Data Caches Chuanjun Zhang*, Jun Yang, and Frank Vahid** *Dept. of Electrical Engineering.
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 14: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Super-Drowsy Caches Single-V DD and Single-V T Super-Drowsy Techniques for Low- Leakage High-Performance Instruction Caches Nam Sung Kim, Krisztián Flautner,
A Self-adjusting Scheme to Determine Optimum RBB by Monitoring Leakage Currents Nikhil Jayakumar* Sandeep Dhar $ Sunil P. Khatri* $ National Semiconductor,
On the Limits of Leakage Power Reduction in Caches Yan Meng, Tim Sherwood and Ryan Kastner UC, Santa Barbara HPCA-2005.
Compiler-Directed instruction cache leakage optimizations Discussed by Discussed by Raid Ayoub CSE D EPARTMENT.
Techniques for Efficient Processing in Runahead Execution Engines Onur Mutlu Hyesoon Kim Yale N. Patt.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
1 Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge
1 Energy-efficiency potential of a phase-based cache resizing scheme for embedded systems G. Pokam and F. Bodin.
Lecture 5 – Power Prof. Luke Theogarajan
CS 7810 Lecture 13 Pipeline Gating: Speculation Control For Energy Reduction S. Manne, A. Klauser, D. Grunwald Proceedings of ISCA-25 June 1998.
Temperature-Aware Design Presented by Mehul Shah 4/29/04.
Lecture 7: Power.
Lecture 7: Power.
Power Management in Multicores Minshu Zhao. Outline Introduction Review of Power management technique Power management in Multicore ◦ Identify Multicores.
Power, Energy and Delay Static CMOS is an attractive design style because of its good noise margins, ideal voltage transfer characteristics, full logic.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
CS 423 – Operating Systems Design Lecture 22 – Power Management Klara Nahrstedt and Raoul Rivas Spring 2013 CS Spring 2013.
6.893: Advanced VLSI Computer Architecture, September 28, 2000, Lecture 4, Slide 1. © Krste Asanovic Krste Asanovic
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
CSE477 L26 System Power.1Irwin&Vijay, PSU, 2002 TKT-1527 Digital System Design Issues Low Power Techniques in Microarchitectures and Memories Mary Jane.
17 Sep 2002Embedded Seminar2 Outline The Big Picture Who’s got the Power? What’s in the bag of tricks?
Low Power Techniques in Processor Design
1 VLSI Design SMD154 LOW-POWER DESIGN Magnus Eriksson & Simon Olsson.
CSC 4250 Computer Architectures December 5, 2006 Chapter 5. Memory Hierarchy.
GREEN COMPUTING Power Consumption Basics in ICT Products
1 Overview 1.Motivation (Kevin) 1.5 hrs 2.Thermal issues (Kevin) 3.Power modeling (David) Thermal management (David) hrs 5.Optimal DTM (Lev).5 hrs.
Dept. of Computer Science, UC Irvine
Logic Synthesis for Low Power(CHAPTER 6) 6.1 Introduction 6.2 Power Estimation Techniques 6.3 Power Minimization Techniques 6.4 Summary.
Drowsy Caches: Simple Techniques for Reducing Leakage Power Authors: ARM Ltd Krisztián Flautner, Advanced Computer Architecture Lab, The University of.
Higher order effects Channel Length Modulation Body Effect Leakage current.
Ashley Brinker Karen Joseph Mehdi Kabir ECE 6332 – VLSI Fall 2010.
Sogang University Advanced Computing System Chap 1. Computer Architecture Hyuk-Jun Lee, PhD Dept. of Computer Science and Engineering Sogang University.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Exploiting Program Hotspots and Code Sequentiality for Instruction Cache Leakage Management J. S. Hu, A. Nadgir, N. Vijaykrishnan, M. J. Irwin, M. Kandemir.
Washington State University
Power Management in High Performance Processors through Dynamic Resource Adaptation and Multiple Sleep Mode Assignments Houman Homayoun National Science.
Notices You have 18 more days to complete your final project!
XIAOYU HU AANCHAL GUPTA Multi Threshold Technique for High Speed and Low Power Consumption CMOS Circuits.
Leakage reduction techniques Three major leakage current components 1. Gate leakage ; ~ Vdd 4 2. Subthreshold ; ~ Vdd 3 3. P/N junction.
경종민 Low-Power Design for Embedded Processor.
Basics of Energy & Power Dissipation
Lev Finkelstein ISCA/Thermal Workshop 6/ Overview 1.Motivation (Kevin) 2.Thermal issues (Kevin) 3.Power modeling (David) 4.Thermal management (David)
Patricia Gonzalez Divya Akella VLSI Class Project.
FPGA-Based System Design: Chapter 2 Copyright  2004 Prentice Hall PTR Topics n Logic gate delay. n Logic gate power consumption. n Driving large loads.
Dynamic Logic Circuits Static logic circuits allow implementation of logic functions based on steady state behavior of simple nMOS or CMOS structures.
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 6.1 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng.
1 Improved Policies for Drowsy Caches in Embedded Processors Junpei Zushi Gang Zeng Hiroyuki Tomiyama Hiroaki Takada (Nagoya University) Koji Inoue (Kyushu.
CS203 – Advanced Computer Architecture
Presented by Rania Kilany.  Energy consumption  Energy consumption is a major concern in many embedded computing systems.  Cache Memories 50%  Cache.
CS203 – Advanced Computer Architecture
Temperature and Power Management
Alireza Shafaei, Shuang Chen, Yanzhi Wang, and Massoud Pedram
SECTIONS 1-7 By Astha Chawla
Hot Chips, Slow Wires, Leaky Transistors
Reading: Hambley Ch. 7; Rabaey et al. Sec. 5.2
Fine-Grain CAM-Tag Cache Resizing Using Miss Tags
On-demand solution to minimize I-cache leakage energy
Computer Architecture Lecture 4 17th May, 2006
BIC 10503: COMPUTER ARCHITECTURE
Presentation transcript:

Managing Static (Leakage) Power S. Kaxiras, M Martonosi, “Computer Architecture Techniques for Power Effecience”, Chapter 5.

Static Power Remember: Has increased to a significant % of total power consumption. Seen in older technologies, but CMOS prevents open paths from V dd to Gnd Increases exponentially with V T Currently, 20-40% of power consumption is leakage Leakage Current P leak = V dd x I leak I leak : predominantly Sub-threshold leakage, Gate-oxide leakage

Sub-threshold Leakage Transistors are not perfectly digital Current still flows when voltage is below threshold (“off”). Increases with V dd,V T scaling

Sub-threshold Leakage - Current Exponentially dependent on V ds, V gs, V T, T V ds – voltage differential between drain and source transistor stacking and drowsy (or DVS) aim to reduce V gs – Can be set to 0 for sub-threshold leakage V T – threshold voltage, can be scaled to reduce leakage (trades off with performance) T – Temperature, can lead to thermal runaway

Gate-oxide leakage Caused by “tunneling”, electrons escape through the insulator Thicker layer of high-k material can be used Reduces tunneling Doesn’t compromise performance *from Wikipedia

Leakage Reduction Techniques Stacking effect and gated V dd Dynamically resized cache (DRI), cache decay, adaptive mode control (AMC) and functional unit decay. Drowsy effect Drowsy caches, hybrid approaches, temperature-adaptive approaches, and compiler approaches. Threshold Voltage manipulation Dynamic – DVFS and Adaptive Body Scaling Static – MTCMOS functional units, Asymmetric Memory Cells

Stacking Non-state preserving Significant leakage reduction, but a power-up latency (10s of cycle) Two transistors that are “off” cause less leakage than one Gated V dd is common implementation

Dynamically Resized Cache (DRI) Resize instruction cache to fit current working set of code The rest is turned off using gated V dd Direct-mapped DRI cache shown to right

Cache Decay Turns off cache lines after a number of cycles if the cache hasn’t been accessed Essential to accurately predict when a cache line is no longer useful L1 Cache lines are generational When a line is loaded, several access occur immediately after Followed by a long dead period

Cache Decay - Implementation Counter on cache-line, advances every few hundred cycles Decay interval defined globally First miss resets counter and restores power

Adaptive Cache Decay Adaptive Mode Control Small decay intervals can cause more decay-induced misses Large intervals can cause cache lines to stay active in their dead-time Mechanisms to adjust decay interval dynamically Per-cache-line adaptive decay Global decay interval adaptive techniques Control Theoretic Techniques

Per-cache-line adaptive decay Detect delay-induced misses and increase delay interval

Global adaptive techniques

Functional Unit Decay

Drowsy Effect – Data Caches State-preserving Medium leakage reduction, small (<10) power up latency Instead of cutting power off, voltage is scaled to V ddLow Must be switched back to V dd before access “Simple” policy, MRO, TMRO

Drowsy Effect- Instruction Caches Simple policy does not work due to locality, delay in fetch affects performance Implementation moved to cache-bank level Only bank that is actively accessed is kept awake Improved by Next Sub-Bank predication based on code behavior Other behavior techniques: Program hotspots Code sequentiality

Mixed State-Preserving and Non-State Preserving Drowsy addresses the disadvantage of Gated V dd But does not save as much leakage Performance varies between two methods: For fast L2, L1 cache decay performs better In L2: Non-state-preserving policies do not perform as well as drowsy policies Decay-induced miss penalty is too high Hybrid scheme: put cache line in drowsy for a time before turning it off completely.

Reliability and Temperature Higher temperature benefit more from decay Low temperatures: minimizing dynamic energy penalty is most important; this argues in favor of the drowsy mode. Soft-error reliability Occurs when a particle strike causes a bit to flip Cache decay improves reliability by turning off a large portion for the data In-Cache Replication

V T - Static

Dual V T - Asymmetric Memory Cells