The need for AMS assertions Verify the analog/digital interfaces at block and SoC levels –Check properties involving voltages and currents –Check complex.

Slides:



Advertisements
Similar presentations
Network II.5 simulator ..
Advertisements

Copyright 2000 Cadence Design Systems. Permission is granted to reproduce without modification. Introduction An overview of formal methods for hardware.
Chapter 11 Verilog HDL Application-Specific Integrated Circuits Michael John Sebastian Smith Addison Wesley, 1997.
An Introduction to the Model Verifier verds Wenhui Zhang September 15 th, 2010.
16/04/20151 Hardware Descriptive Languages these notes are taken from Mano’s book It can represent: Truth Table Boolean Expression Diagrams of gates and.
1 MODULE name (parameters) “Ontology” “Program” “Properties” The NuSMV language A module can contain modules Top level: parameters less module Lower level.
INCREASED PRODUCTIVITY FOR ASSERTION-BASED VERIFICATION (ABV) INCREASED PRODUCTIVITY FOR ASSERTION-BASED VERIFICATION (ABV) DESIGNED FROM THE GROUND UP.
Timed Automata.
Lecture 12 Latches Section , Block Diagram of Sequential Circuit gates New output is dependent on the inputs and the preceding values.
Combining Symbolic Simulation and Interval Arithmetic for the Verification of AMS Designs Mohamed Zaki, Ghiath Al Sammane, Sofiene Tahar, Guy Bois FMCAD'07.
© 2015 Synopsys, Inc. All rights reserved.1 Timing Analysis in a Mixed Signal World TAU Workshop Panel Session Jim Sproch March 12, 2015.
MS-SoC Best Practices – Advanced Modeling & Verification Techniques for first-pass success By Neyaz Khan Greg Glennon Dan Romaine.
Presenter: PCLee – This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation.
CMPT150, Ch 3, Tariq Nuruddin, Fall 06, SFU 1 Ch3. Combinatorial Logic Design Modern digital design involves a number of techniques and tools essential.
PTIDES: Programming Temporally Integrated Distributed Embedded Systems Yang Zhao, EECS, UC Berkeley Edward A. Lee, EECS, UC Berkeley Jie Liu, Microsoft.
Chapter 9 Memory Basics Henry Hexmoor1. 2 Memory Definitions  Memory ─ A collection of storage cells together with the necessary circuits to transfer.
A denotational framework for comparing models of computation Daniele Gasperini.
1 Assertion Based Verification 2 The Design and Verification Gap  The number of transistors on a chip increases approximately 58% per year, according.
ECE Synthesis & Verification1 ECE 667 Spring 2011 Synthesis and Verification of Digital Systems Verification Introduction.
1 Design For Debug Using DAFCA system Gadi Glikberg 15/6/06.
ESE601: Hybrid Systems Introduction to verification Spring 2006.
Principle of Functional Verification Chapter 1~3 Presenter : Fu-Ching Yang.
TM Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective.
Romaric GUILLERM Hamid DEMMOU LAAS-CNRS Nabil SADOU SUPELEC/IETR.
Cheng/Dillon-Software Engineering: Formal Methods Model Checking.
Tasks and Functions Programmable Logic Design (40-493) Fall 2001 Computer Engineering Department Sharif University of Technology Maziar Gudarzi.
Introduction to Digital Logic Design Appendix A of CO&A Dr. Farag
LinearRelationships Jonathan Naka Intro to Algebra Unit Portfolio Presentation.
Using Mathematica for modeling, simulation and property checking of hardware systems Ghiath AL SAMMANE VDS group : Verification & Modeling of Digital systems.
ECE 2372 Modern Digital System Design
Number Sense Standards Measurement and Geometry Statistics, Data Analysis and Probability CST Math 6 Released Questions Algebra and Functions 0 Questions.
Dept of CSE, IIT KGP Assertion Based Verification of Mixed Signal Designs Sanjib Kumar Das Roll No: 03CS1018 Under Supervision of Dr. Pallab Dasgupta Department.
Mugil Vannan H ST Microelectronics India Pvt. Ltd, Noida
Benjamin Gamble. What is Time?  Can mean many different things to a computer Dynamic Equation Variable System State 2.
SOFTWARE DESIGN.
Using Formal Verification to Exhaustively Verify SoC Assemblies by Mark Handover Kenny Ranerup Applications Engineer ASIC Consultant Mentor Graphics Corp.
SoC Verification HW #2 TA: Wei-Ting Tu Assignment: 04/12/06
1 H ardware D escription L anguages Modeling Digital Systems.
Standards for Mathematical Practice
A Power Grid Analysis and Verification Tool Based on a Statistical Prediction Engine M.K. Tsiampas, D. Bountas, P. Merakos, N.E. Evmorfopoulos, S. Bantas.
1 SystemVerilog Enhancement Requests Daniel Schostak Principal Engineer February 26 th 2010.
1 Introduction to Software Engineering Lecture 1.
16 August Verilog++ Assertion Extension Requirements Proposal.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Basics of register-transfer design: –data paths and controllers; –ASM charts. Pipelining.
Fall 2004EE 3563 Digital Systems Design EE 3563 VHDL – Basic Language Elements  Identifiers: –basic identifier: composed of a sequence of one or more.
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
Electrical and Computer Engineering University of Cyprus LAB 1: VHDL.
ECE-C662 Lecture 2 Prawat Nagvajara
ELEE 4303 Digital II Introduction to Verilog. ELEE 4303 Digital II Learning Objectives Get familiar with background of HDLs Basic concepts of Verilog.
Introduction to ASIC flow and Verilog HDL
5-1 Logic System Design I VHDL Design Principles ECGR2181 Reading: Chapter 5.0, 5.1, 5.3 port ( I: in STD_LOGIC_VECTOR (1 to 9); EVEN, ODD: out STD_LOGIC.
EE121 John Wakerly Lecture #17
LECTURE 4 Logic Design. LOGIC DESIGN We already know that the language of the machine is binary – that is, sequences of 1’s and 0’s. But why is this?
Verification Presentation to SystemVerilog Basic Committee Peter Flake Nov 15, 2002.
Introduction to System Verilog Assertions
VLSI Testing Lecture 5: Logic Simulation
VLSI Testing Lecture 5: Logic Simulation
Vishwani D. Agrawal Department of ECE, Auburn University
Assertions An assertion is a statement about the design’s intended behavior Assertions can be written in a hardware description language (HDL) Assertions.
Model-Driven Analysis Frameworks for Embedded Systems
Real Number Modeling Enables Fast, Accurate Functional Verification
Lesson 4 Synchronous Design Architectures: Data Path and High-level Synthesis (part two) Sept EE37E Adv. Digital Electronics.
ECE-C662 Introduction to Behavioral Synthesis Knapp Text Ch
332:437 Lecture 8 Verilog and Finite State Machines
Verilog-AMS Integration with P1800 SV Standard
SystemVerilog and Verification
Introduction to verification
by Neyaz Khan Senior PMTS Maxim Integrated Products
332:437 Lecture 8 Verilog and Finite State Machines
Presentation transcript:

The need for AMS assertions Verify the analog/digital interfaces at block and SoC levels –Check properties involving voltages and currents –Check complex timing constraints that don’t fall on digital clock boundaries Verify analog IP and their correspondence with behavioral models –Check functional properties of analog IP which involve voltages, currents, and continuous time AMS assertions will bring similar advantages to AMS verification as SVAs have brought to digital verification –AMS assertions need to address AMS specific requirements (e.g., continuous time, real valued signals, etc.)

Examples of assertions Comparison of voltage and current values: –If a is greater than 4.5 V then b and c differ by at most 0.1 V. Timing checks: –The delay between the crossing of a at 2.5 V and the next crossing of b at 4.5 V is ns with a tolerance of 2.5 ns. Digital to analog interactions: –If a crosses 0.5 then b should rise followed by c rising 1 clock cycle later.

ASVA committee vision and status General vision for ASVA: –Extend SVA to continuous time while preserving the underlying digital semantics –Enable SVA expressions to reference real valued signals (e.g., voltages, currents) and events involving them –Enable assertions to observe relevant quantities from mixed models and eventually integrated SV-VAMS models –Understand performance/accuracy trade-offs for evaluating assertions with and without influencing the analog solver Status –Requirements have been voted upon –Technical details of implementing the requirements are being investigated Relationships with academic temporal logics and the implications for expressiveness and complexity are being considered (e.g., MITL, STL)

What do we want from P1800? Feedback from SV-AC (participation is welcome) Assistance in implementing ASVA requirements, in particular those involving mixed model access, in a way that is harmonious with SV and its roadmap –A spectrum of solutions has been discussed –Feasibility of various solutions depends on the progress of the SV-VAMS integration –Preliminary and interim solutions can be improved with tighter and earlier integration

Backup slides

The Analog Engine (A first approximation) An analog model is fundamentally a set of differential algebraic equations. –The solution is a function of time. An analog engine calculates an approximation to the function at a sequence of discrete points in time –The calculation of each point is computationally costly The analog engine itself chooses the times –The engine uses a variety of analytical and heuristic techniques to fine tune the trade-off between accuracy and performance by choosing the time step wisely.

The Analog Engine (II) (The Truth) –That was an over simplification. In truth: The user’s model divides time into intervals bounded by the zero crossings of some function of the solution Freedom to choose is granted to the engine only in the interior of each interval –For a complete mixed-signal simulator external events from a digital event-driven engine as well as zero crossings can determine the limits of intervals The analog engine generates digital events synchronously at zero crossings –Now, that’s the truth!

Assertion requirements ASVA will include as a subset all productions of the SystemVerilog Assertion language (SVA). The SVA subset of ASVA will have the same semantics as defined by SystemVerilog. ASVA will support assertions that refer explicitly to the relative timing of events (temporal distance). ASVA will support Boolean-valued relational operators on real-valued subexpressions.

Synchronization requirements The ability to force an analog solve point from within SystemVerilog. Access to the double precision time value and analog quantities from the most recent analog solve point. Ability to read Verilog-AMS quantities from SystemVerilog. The Verilog-AMS value that is read will be equivalent to the value that would be given to a digital request in Verilog-AMS.

Mixed model access requirements en route to integrated SV-VAMS Well-defined syntax and semantics for cross instantiation, including the SystemVerilog bind statement Ability to instantiate a SystemVerilog module or checker within a Verilog-AMS context in a place where a Verilog-AMS module may be instantiated. Ability to bind a SystemVerilog module or checker to a Verilog-AMS target module or modules Ability to access analog events within SystemVerilog Ability to assign a real array to a wreal vector

Mixed model access requirements en route to integrated SV-VAMS Ability to make SystemVerilog/Verilog-AMS port connections between data types whose connection is legal within SystemVerilog, unless specifically prohibited prior to SV-VAMS integration –Connect a Verilog-AMS event expression to a checker port of type event –Connect a Verilog-AMS expression of an integral type to an assignment compatible port as specified in SystemVerilog –Connect a Verilog-AMS expression of a real or wreal type to a port of a SystemVerilog real type –Connect a Verilog-AMS expression of type array of real or array of wreal to a port whose type is an unpacked array of SystemVerilog real type