Presentation is loading. Please wait.

Presentation is loading. Please wait.

LOW-k DIELECTRIC WITH H2/He PLASMA CLEANING

Similar presentations


Presentation on theme: "LOW-k DIELECTRIC WITH H2/He PLASMA CLEANING"— Presentation transcript:

1 LOW-k DIELECTRIC WITH H2/He PLASMA CLEANING
SEALING OF POROUS LOW-k DIELECTRIC WITH H2/He PLASMA CLEANING Juline Shoeba) and Mark J. Kushnerb) a) Department of Electrical and Computer Engineering Iowa State University, Ames, IA 50011 b) Department of Electrical Engineering and Computer Science University of Michigan Ann Arbor, Ann Arbor, MI 48109 June 2010 *Work supported by Semiconductor Research Corporation ICOPS10_01

2 University of Michigan Institute for Plasma Science & Engr.
AGENDA Sealing of Low-k Dielectrics Modeling Platforms Generation of Hot H Polymer Removal and PR Stripping In He/H2 Mixtures Sealing Mechanism Using Ar/NH3 Plasma Treatment Sealing Efficiency Pore Radius and Aspect Ratio Concluding Remarks University of Michigan Institute for Plasma Science & Engr. ICOPS10_02

3 POROUS LOW-k DIELECTRICS
The capacitance of the insulator contributes to RC delays in interconnect wiring. Low-k porous oxides, such as C doped SiO2 (CHn lining pores) reduce the RC delay. Porosity  0.5, Interconnectivity  0.5. Inter-connected pores open to plasma may degrade k-value by reactions with plasma species. Desire to seal pores. Ref: University of Michigan Institute for Plasma Science & Engr. ICOPS10_03

4 PORE PLASMA SEALING MECHANISM
Treatment Time (s) Function He/H2 610 Polymer Clean, PR Strip, Surface Activation Ar/NH3 35 ( Post-He/H2) Sealing Investigated 2-step process: He/H2 plasma He+ and photons break Si-O bonds Hot H, He+ remove H from pore lining CHn groups, thereby activating sites. Hot H strips off photo-resist. NH3 plasma: Seals pores by forming C-N and Si-N bonds which bridges opening. Reaction mechanisms and scaling laws for He/H2 and NH3 plasma sealing of porous SiCOH have been developed based on results from a computational investigation. Ref: A. M. Urbanowicz, M. R. Baklanov, J. Heijlen, Y. Travaly, and A. Cockburn, Electrochem. Solid-State Lett. 10, G76 (2007). University of Michigan Institute for Plasma Science & Engr. ICOPS10_04

5 MODELING : LOW-k PORE SEALING
He/H2 PLASMA Ar/NH3 PLASMAS Coils Energy and angular distributions for ions and neutrals Plasma Metal Porous Low-k Substrate Wafer Hybrid Plasma Equipment Model (HPEM) Plasma Chemistry Monte Carlo Module (PCMCM) Monte Carlo Feature Profile Model (MCFPM) University of Michigan Institute for Plasma Science & Engr. ICOPS10_05

6 MONTE CARLO FEATURE PROFILE MODEL (MCFPM)
The MCFPM resolves the surface topology on a 2D Cartesian mesh to predict etch profiles. Each cell in the mesh has a material identity. (Cells are 4 x 4 ). Gas phase species are represented by Monte Carlo pseuodoparticles. Pseuodoparticles are launched towards the wafer with energies and angles sampled from the distributions obtained from the PCMCM. Cells identities changed, removed, added for reactions, etching, and deposition. HPEM PCMCM Energy and angular distributions for ions and neutrals MCFPM Provides etch rate And predicts etch profile University of Michigan Institute for Plasma Science & Engr. ICOPS10_06

7 INITIAL LOW-k PROCESS INTEGRATION
80 nm thick porous SiCOH. CH3 lines pores with Si-C bonding. Ave pore radius: nm Process integration steps: Ar/C4F8/O2 CCP: Etch 10:1 Trench He/H2 ICP: Remove CFx polymer, PR; activate surface sites. NH3 ICP: Seal Pores Hard Mask Porous Low-k SiCOH Si University of Michigan Institute for Plasma Science & Engr. ICOPS10_07

8 SiOCH ETCHING IN Ar/C4F8/O2 PLASMAS
SiO2 Etching M SiO2(s)  SiO2*(s) M CxFy + SiO2*(s)  SiO2CxFy(s) M SiO2CxFy(s)  SiFm COn + M CHn Group Etching M CHn(s)  CHn-1(s) H M O CHn(s)  CO + Hn Polymer Deposition M SiO2CxFy(s)  SiO2CxFy*(s) + M CxFy + SiO2CxFy*(s)  SiO2CxFy(s) + POLY(s) CxFy + CHn  CHn-1(s) CxFy(s) M CxFy(s)  CxFy*(s) M CxFy* + CxFy (g)  CxFy(s) POLY(s) University of Michigan Institute for Plasma Science & Engr. ICOPS10_08

9 He/H2 PLASMAS: POLYMER/PR ASHING AND SURFACE ACTIVATION
Polymer Removal M+ + POLY(s)  CF M H** + POLY(s)  CHF2 H** + POLY(s)  CF HF H2** + POLY(s)  CH2F2 PR Etching M PR(s)  PR M H** + PR(s)  CH4 H2** + PR(s)  CH4 SiO2/CHn Activation M CHn(s)  CHn-1(s) + H + M H** + CHn(s)  CHn-1(s) + H2 M SiO2(s)  SiO(s) + O(s) + M hν + SiO2(s)  SiO(s) + O(s) University of Michigan Institute for Plasma Science & Engr. ICOPS10_09 **Translationally hot

10 SEALING MECHANISM IN Ar/NH3 PLASMA
N/NHx species are adsorbed by activated sites forming Si-N and C-N bonds to seal pores. Further Bond Breaking M+ + SiO2(s)  SiO(s) + O(s) + M M+ + SiO(s)  Si(s) + O(s) + M N/NHx Adsorption NHx + SiOn(s)  SiOnNHx(s) NHx + Si(s)  SiNHx(s) NHx + CHn-1 (s)  CHn-1NHx(s) NHx + P*(s)  P(s) + NHx(s) SiNHx-NHy/CNHx-NHy compounds seal the pores where end N are bonded to Si or C by Si-C/Si-N NHy + SiNHx(s)  SiNHx-NHy(s) NHy + CHn-1NHx(s)  CHn-1NHx-NHy(s) University of Michigan Institute for Plasma Science & Engr. ICOPS10_10

11 PORE-SEALING BY SUCCESSIVE He/H2 AND NH3/Ar TREATMENT
Initial Surface Pores He/H2 Plasma Site Activation Ar/NH3 Plasma Pore Sealing Surface pore sites are activated by 610s He/H2 plasma treatment. Ar/NH3 plasma treatment seals the pores by forming bridging Si-N, N-N and Si-C bonds. Animation Slide-GIF University of Michigan Institute for Plasma Science & Engr. ICOPS10_11

12 TYPICAL PLASMA PROPERTIES: H2/He ICP
Total ion density (cm-3): x 1011 Neutral densities (cm-3): H x H x 1013 H2(v=1) 3.0 x 1011 H2(v=2) 3.0 x 1011 H2(v=3) 3.0 x 1011 H2(v=4) 3.0 x 1011 H2(v=5) 3.0 x 1011 Major fluxes to the substrate (cm-2 s-1): H x H x H2(v=1) 2.0 x 1016 H2(v=2) 2.0 x 1016 H2(v=2) 2.0 x H x H x 1013 Conditions: H2/He = 25/75, 10 mTorr, 300 W ICP University of Michigan Institute for Plasma Science & Engr. ICOPS10_12

13 HOT H GENERATION: He/H2 ICP
Vibrational Excitation e + H2(v=0)  H2(v=1) e e + H2(v=n)  H2(v=n+1) + e Hot H Generation e + H2(v=n)  H** + H** + e Charge Exchange Reactions H2(v=n) + H2+  H2(v=n)** + H2+ H2(v=n) + H2+  H** H3+ H H2+  H2(v=0)** + H+ H2(v=n) + H+  H** H2+ H H+  H** H+ Conditions: H2/He = 25/75, 10 mTorr, 300 W ICP University of Michigan Institute for Plasma Science & Engr. **Translationally hot ICOPS10_13

14 Ar/C4F8/O2 CCP TRENCH ETCH
Photo-Resist CCP for trench etch. Ar/C4F8/O2 = 80/15/5 40 mTorr, 300 sccm 10 MHz 5 kW CFx polymer deposited on the side-walls efficiently seal the open pores. CFx polymers are harmful to diffusion barrier metals such as Ti and Ta. Polymer layers can be removed by one of the following: He/H2 plasmas without surface damage. O2 plasmas that etch the CH3 groups. Porous Low-k SiCOH Si University of Michigan Institute for Plasma Science & Engr. Animation Slide-GIF ICOPS10_14

15 POLYMER REMOVAL AND PR STRIPPING
He/H2 plasma used for both polymer (P) removal and photoresist (PR) stripping. Hot H, H2, H+ and H2+ remove polymers and masking PR layers as CH4, HF, and CxHyFz H** + POLY(s)  CF HF H** + POLY(s)  CHF2 H2** + POLY(s)  CH2F2 H** + PR(s)  CH4 H2** + PR(s)  CH4. CHn groups are also activated by H removal H** + CHn(s)  CHn-1 + H2. Porous Low-k SiCOH Si Animation Slide-GIF University of Michigan Institute for Plasma Science & Engr. ICOPS10_15 **Translationally hot

16 POLYMER REMOVAL, CH3 DEPLETION
Ar/O2 plasma efficiently removes polymer. Also removes CH3 groups in pores as O atoms diffuse into the porous network. Net result is increase in pore size. Pore openings can get too large to easily seal. He/H2 plasma removes polymer without significantly depleting CH3. Low-k SiCOH Si University of Michigan Institute for Plasma Science & Engr. ICOPS10_16

17 SEALING: WITH POLYMER REMOVAL AND PR STRIP
Ar/O2 Clean: additional He treatment is required for surface activation, followed by NH3 plasma sealing. He/H2 Clean: can do both activation and cleaning in a single step. Successive NH3 plasma exposure seals the surface pores forming Si-N and C-N bonds. He/H2 Activation Sealing He/H2 Activation Sealing Si Si University of Michigan Institute for Plasma Science & Engr. Animation Slide-GIF ICOPS10_17

18 SEALING EFFICIENCY: PORE RADIUS
Ar/O2 Clean: sealing efficiency decreases with increasing pore size. H2/He Clean: selective cleaning does not enlarge openings. Broad angular distribution of H improves surface activation and sealing. Ar/O2 Clean He/H2 Clean Good Sealing Poor Sealing Animation Slide-GIF University of Michigan Institute for Plasma Science & Engr. ICOPS10_18 18

19 SEALING EFFICIENCY: ASPECT RATIO
O2 Clean: sealing efficiency on sidewalls decreases with increasing aspect ratio. He/H2 Clean: sealing does not degrade with higher aspect ratio. Hot H activates all of the surface sites due to its broad angular distribution. University of Michigan Institute for Plasma Science & Engr. ICOPS10_19 19

20 University of Michigan Institute for Plasma Science & Engr.
CONCLUDING REMARKS Integrated porous low-k material sealing was computationally investigated Ar/C4F8/O2 Etch H2/He Clean, PR Strip, and Surface Activation Ar/NH3 Sealing He/H2 plasmas clean polymer, strips off PR and activates surface sites in a single step. Higher activation and lower damage seal the surface better. Si-N and C-N bonds formed by adsorption on active sites followed by one N-N bond linking C or Si atoms from opposite pore walls. For Ar/O2 clean, sealing efficiency degrades when pore radius is >1 nm and aspect ratio >10. He/H2 clean enables sealing of larger pores and higher aspect ratio trenches. University of Michigan Institute for Plasma Science & Engr. ICOPS10_20


Download ppt "LOW-k DIELECTRIC WITH H2/He PLASMA CLEANING"

Similar presentations


Ads by Google