Presentation is loading. Please wait.

Presentation is loading. Please wait.

Non-tree Routing for Reliability & Yield Improvement A.B. Kahng – UCSD B. Liu – Incentia I.I. Mandoiu – UCSD Work supported by Cadence, MARCO GSRC, and.

Similar presentations


Presentation on theme: "Non-tree Routing for Reliability & Yield Improvement A.B. Kahng – UCSD B. Liu – Incentia I.I. Mandoiu – UCSD Work supported by Cadence, MARCO GSRC, and."— Presentation transcript:

1 Non-tree Routing for Reliability & Yield Improvement A.B. Kahng – UCSD B. Liu – Incentia I.I. Mandoiu – UCSD Work supported by Cadence, MARCO GSRC, and NSF

2 2 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Outline Motivation for non-tree routing Problem formulation Exact solution by integer programming Greedy heuristic Experimental results

3 3 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Motivation for Redundant Interconnect Manufacturing defects increasingly difficult to control in nanometer processes  Cannot expect continued decreases in defect density Defects occur at  Front end of the line (FEOL), i.e., devices  Back end of the line (BEOL), i.e. interconnect and vias In nanometer processes BEOL defects are increasingly dominant  Aluminum interconnects etched  defect modality = short faults  Copper interconnects deposited  defect modality = open faults

4 4 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Catastrophic Interconnect Faults  Open faults = missing material (or extra oxide where via should be formed)  Short faults = Extra material (or missing oxide)

5 5 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Opens vs. Shorts - Probability of Failure Open faults are significantly more likely to occur opens shorts Defect size (Source: de Gyvez, SLIP01)

6 6 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Opens vs. Shorts - Critical Area (CA) Shorts Opens (Source: de Gyvez, SLIP01) Open fault CA larger than short fault CA

7 7 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Reliability Improvement Approaches Reduction of short critical area  Conservative design rules  Decompaction  Effective in practice! Reduction of open critical area  Wider wires  Non-tree interconnect  How effective? What are the tradeoffs involved? Related work  [McCoy-Robins 1995, Xue-Kuh 1995] non-tree interconnect for delay and skew reduction  2-Edge-Connectivity Augmentation (E2AUG)

8 8 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Our Contributions Post-processing approach to non-tree routing for reliability improvement  One net at a time  Easy to integrate in current flows  Most appropriate for large non-critical nets Compact integer program, practical up to 100 terminals Faster, near-optimal greedy heuristic Experimental study including comparison with best E2AUG heuristics and SPICE verification of delay and process variability

9 9 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Problem Formulation Manhattan Routed Tree Augmentation (MRTA) Problem Given:  Tree T routed in the Manhattan plane  Feasible routing region FRR  Wirelength increase budget W Find:  Augmenting paths A within FRR Such that:  Total length of augmenting paths is less than W  Total length of biconnected edges in T  A is maximum Wirelength increase budget used to balance open CA decrease with short CA increase

10 10 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Allowed Augmenting Paths (A)Paths parallel to tree edges (A) (B) (B) Paths connecting tree nodes (including corners) (C) (C) Paths connecting tree nodes or “projections” of tree nodes onto adjacent tree edges (D) (D) Arbitrary paths on the Hanan grid defined by tree nodes and FRR corners Given routed tree Augmenting paths

11 11 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Hanan Grid Theorem Theorem: MRTA has an optimum solution on the Hanan grid defined by tree nodes and FRR corners. Sliding in at least one direction is not decreasing biconnectivity Re-embedding along Hanan grid does not decrease biconnectivity

12 12 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Hanan Grid Theorem Theorem: MRTA has an optimum solution on the Hanan grid defined by tree nodes and FRR corners. Sliding in at least one direction is not decreasing biconnectivity Re-embedding along Hanan grid does not decrease biconnectivity

13 13 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement MRTA vs. 2-Edge-Connectivity Augmentation 2-Edge-Connectivity Augmentation (E2AUG) Problem Given weighted graph G=(V,E) and spanning tree T, find minimum weight A  E s.t. T  A is 2-edge-connected, i.e., cannot be disconnected by removal of a single edge E2AUG can be solved by performing binary search on WL increase budget of MRTA  MRTA is NP-hard Differences between MRTA and E2AUG  WL increase budget  Geometric context (Manhattan plane with obstacles)  “ Partial ” parallel edges  Steiner points (paths of type C and D)

14 14 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Integer Linear Program (type A-C paths) Total biconnected length Subject to: Wirelength budget e biconnected if  p connecting T u & T v {e|x e =1} gives augmenting paths {e|y e =1} gives biconnected tree edges P = set of -- at most O(n 2 ) -- augmenting paths WL budget is fully utilized by (implicit) parallel paths

15 15 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Integer Linear Program (type D paths) Subject to H = Hanan grid defined tree nodes and FRR corners Exponentially many cut constraints  Fractional relaxation can still be solved using the ellipsoid algorithm

16 16 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Input : Routed tree T, wirelength budget W, feasible routing region, set V of allowed augmenting path endpoints Output: Augmented routing T  A, with l(A) ≤ W 1. A = {}; mark all edges of T as bridges 2. Compute augmenting path lengths between every u,v  V by |V| Dijkstra calls 3. Compute length of bridges on tree path between every u,v  V by |V| DFS calls 4. Find path p with l(p) ≤ W and max ratio between length of bridges on the tree path between ends of p and l(p) 5. If ratio  1 then  Add p to A  Mark all edges on the tree path between ends of p as biconnected  Update V and compute lengths for newly allowed paths (C type augmentation)  Go to step 3 6. Else exit Greedy MRTA Algorithm Runtime = O(N*D + K*N 2 ), reduced to O(K*N 2 ) w/o obstacles where N = #allowed endpoints, K = #added paths, D = Dijkstra runtime

17 17 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Experimental Setup Compared algorithms  Greedy  Integer program solved with CPLEX 7.0  Best-drop E2AUG heuristic [Khuller-Raghavachari-Zhu 99]  Uses min-weight branching to select best path to add and multiple restarts  Modified to observe WL budget  Recent E2AUG genetic algorithm [Raidl-Ljubic 2002]  Features compact edge-set representation + stochastic local improvement for solution space reduction Test cases  WL increase budget = 1%, 2%, 5%, 10%, 20%, no limit  Net size between 5 and 1000 terminals  Random nets routed using BOI heuristic  Min-area and timing driven nets extracted from real designs  No routing obstacles

18 18 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Extra wirelength (%) and runtime (sec.) for Unlimited WL Increase Budget #sinks CPLEXGeneticBest-DropGreedy 577.86/0.01 77.90/0.2678.33/0.00 1057.97/0.0157.97/0.5258.19/7.5459.70/0.00 2045.58/0.0745.59/4.3345.77/226.7146.82/0.03 5038.64/0.8839.44/37.35--40.16/0.41 10035.11/18.2139.30/181.08--36.28/3.26 200-- 34.10/26.12 500-- 32.85/405.45 1000-- 32.27/3160.24 CPLEX finds optimum (least) wirelength increase with practical runtime for up to 100 sinks Greedy always within 3.5% of optimum; runtime practical for up to 1000 sinks

19 19 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Biconnectivity (%) and runtime (sec.) for 10% WL Increase #sinksGreedy BGreedy CBest-Drop BBest-Drop CCPLEX BCPLEX C 511.30/0.0012.24/0.009.89/0.2010.44/0.4111.30/0.0012.30/0.0 0 1014.41/0.0017.95/0.0010.84/7.1112.04/61.7314.46/0.0118.11/0.02 2030.53/0.0135.56/0.0623.68/173.1228.25/5516.7531.15/0.0436.32/0.3 3 5056.95/0.1158.58/1.51-- 58.04/0.58-- 10065.87/0.6666.76/16.69-- 67.00/5.81-- 20071.33/4.28-- 50073.56/56.77-- 100074.49/420.91-- Augmenting paths of type C (allowing node projections as augmenting path endpoints) give extra 1-5% biconnectivity Biconnectivity grows with net size Greedy within 1-2% of optimum (max) biconnectivity computed by CPLEX

20 20 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Biconnectivity-Wirelength Tradeoff for Type C Augmentation, 20-terminals

21 21 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement SPICE Max-Delay (ns) Improvement 52-56 terminal nets, routed for min-area 28.26% average and 62.15% maximum improvement in max-delay for 20% WL increase Smaller improvements for timing driven initial routings TestcaseInitial Routing (WarpRoute) WL Increase 1%5%20% 11.5511.5641.4780.873 20.3660.3740.3270.345 30.8590.8690.8360.627 40.282 0.3060.262 51.002 0.9710.778 60.7870.7940.5200.442 70.514 0.3180.273

22 22 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Process Variability Robustness Width w=w 0, w 0 ±6.67% Delay variation computed as (max w d(w) – min w d(w)) / d(w 0 ) 13.79% average and 28.86% maximum reduction in delay variation for 20% WL increase TestcaseInitial Routing (WarpRoute) 20% WL Increase 11.551±4.126%0.873±3.780% 20.366±3.552%0.345±2.898% 30.859±3.958%0.627±3.668% 40.282±2.837%0.262±3.053% 51.002±3.792%0.778±3.470% 60.787±3.812%0.442±3.167% 70.514±3.502%0.273±2.930%

23 23 ICCAD’02 -- Non-tree Routing for Reliability and Yield Improvement Summary Post-processing tree augmentation approach to reliability and manufacturing yield improvement  Results show significant biconnectivity increase with small increase in wirelength, especially for large nets Future work includes  Multiple net augmentation  Simultaneous non-tree augmentation & decompaction  Consideration of defect-size distribution  Reliability with timing constraints

24 Thank You!


Download ppt "Non-tree Routing for Reliability & Yield Improvement A.B. Kahng – UCSD B. Liu – Incentia I.I. Mandoiu – UCSD Work supported by Cadence, MARCO GSRC, and."

Similar presentations


Ads by Google