Presentation is loading. Please wait.

Presentation is loading. Please wait.

Dirk Stroobandt Ghent University Electronics and Information Systems Department A Priori System-Level Interconnect Prediction The Road to Future Computer.

Similar presentations


Presentation on theme: "Dirk Stroobandt Ghent University Electronics and Information Systems Department A Priori System-Level Interconnect Prediction The Road to Future Computer."— Presentation transcript:

1 Dirk Stroobandt Ghent University Electronics and Information Systems Department A Priori System-Level Interconnect Prediction The Road to Future Computer Systems Presentation at Northwestern University May 11th, 2000

2 Talk at NWU, Dirk Stroobandt 2 Why do we need a priori interconnect prediction? Basic models Rent’s rule with extensions and applications A priori wirelength prediction New evolutions: 3D and anisotropic systems System-level predictions Applications Conclusions Outline

3 May 11th, 2000Talk at NWU, Dirk Stroobandt 3 Why do we need a priori interconnect prediction? Basic models Rent’s rule with extensions and applications A priori wirelength prediction New evolutions: 3D and anisotropic systems System-level predictions Applications Conclusions Outline

4 May 11th, 2000Talk at NWU, Dirk Stroobandt 4 Importance of wires increases (they do not scale as components). For future designs, very little is known. Roadmapping uses a priori estimation techniques. To improve CAD tools for design layout generation. CAD tools have to take into account: timing constraints, area constraints, performance, power dissipation… All these constraints: wires should be as short as possible. Estimation at early stage aids the CAD tools in finding a better solution through fewer design cycle iterations. Why do we need a priori interconnect prediction?

5 May 11th, 2000Talk at NWU, Dirk Stroobandt 5 Why do we need a priori interconnect prediction? To evaluate new computer architectures To adhere to the increasing performance demands, new computer architectures are needed. Each of them must be evaluated thoroughly. A priori estimates immediately provide a ground for drawing preliminary conclusions. Different architectures can be compared to each other. Applications for evaluating three-dimensional (opto- electronic) architectures, FPGA’s, MCM’s,...

6 May 11th, 2000Talk at NWU, Dirk Stroobandt 6 Components of the physical design step layout Layout generation circuitarchitecture

7 May 11th, 2000Talk at NWU, Dirk Stroobandt 7 Net External net Internal net Logic block Multi-terminal nets have a net degree > 2 Circuit model Terminal / pin

8 May 11th, 2000Talk at NWU, Dirk Stroobandt 8 8 nets cut 4 nets cut Model for partitioning Optimal partitioning: minimal number of nets cut

9 May 11th, 2000Talk at NWU, Dirk Stroobandt 9 Model for partitioning Module New net New terminal

10 May 11th, 2000Talk at NWU, Dirk Stroobandt 10 The three basic models Circuit model Placement and routing model Model for the architecture Pad Channel Manhattan grid using Manhattan metric Cell

11 May 11th, 2000Talk at NWU, Dirk Stroobandt 11 The three basic models Optimal routing = routing through shortest path requires channels with sufficiently high density for multi-terminal nets: Steiner trees This defines the net length for known endpoints Placement and routing model Optimal placement = placement with minimal total wire length over all possible placements.

12 May 11th, 2000Talk at NWU, Dirk Stroobandt 12 Why do we need a priori interconnect prediction? Basic models Rent’s rule with extensions and applications A priori wirelength prediction New evolutions: 3D and anisotropic systems System-level predictions Applications Conclusions Outline

13 May 11th, 2000Talk at NWU, Dirk Stroobandt 13 T = t B p 1 1 100010 100 T B Rent’s rule average Rent’s rule (simple) 0  p  1 (complex) Normal values: 0.5  p  0.75 Measure for the complexity of the interconnection topology p = Rent exponent Rent’s rule was first described by [Landman and Russo, 1971] For average number of terminals and blocks per module: t = average # term./block

14 May 11th, 2000Talk at NWU, Dirk Stroobandt 14 If  B cells are added, what is the increase  T? In the absence of any other information we guess Overestimate: many of  T terminals connect to T terminals and so do not contribute to the total. We introduce a factor p (p <1) which indicates how self connected the netlist is Or, if  B &  T are small compared to B and T Statistically homogenous system T B Rent’s rule

15 May 11th, 2000Talk at NWU, Dirk Stroobandt 15 Rent’s rule average Rent’s rule Rent’s rule is experimentally validated for a lot of real circuits and for different partitioning methodologies. T = t B p Distinguish between: p* : intrinsic Rent exponent p : Rent exponent for a given placement p’ : Rent exponent for a given partitioning Deviation for high B and T: Rent’s region II (cfr. later).

16 May 11th, 2000Talk at NWU, Dirk Stroobandt 16 Rent’s rule Rent’s rule is a result of the self-similarity within circuits Assumption: interconnection complexity is equal at all levels.

17 May 11th, 2000Talk at NWU, Dirk Stroobandt 17 Extension: the local Rent exponent Variations in Rent’s rule: global variations (e.g., lower complexity after Technology mapping of the circuit, duplication); local variations. Two kinds of local variations in Rent’s rule: hierarchical locality: some hierarchical levels are more complex than others; spatial locality: some circuit parts are more complex than others. Both are deviations from Rent’s rule that can be modelled well.

18 May 11th, 2000Talk at NWU, Dirk Stroobandt 18 Hierarchical locality: Rent’s region II Causes of region II: - pin limitation problem; - parallel to serial (complexity is moved from space to time, number of pins is lowered); - coding (input and output stream compact). average Rent’s rule

19 May 11th, 2000Talk at NWU, Dirk Stroobandt 19 Hierarchical locality: region III For some circuits: also deviation at low end. Mismatch between the available (library) and the desired (design) complexity of interconnect topology. Only for circuits with logic blocks that have many inputs. T

20 May 11th, 2000Talk at NWU, Dirk Stroobandt 20 Hierarchical locality: modelling Use incremental Rent exponent (proportional to the slope of Rent’s curve in a single point).

21 May 11th, 2000Talk at NWU, Dirk Stroobandt 21 Spatial locality in Rent’s rule Inhomogeneous circuits: different parts have different interconnection complexity. For separate parts: Only one Rent exponent (heterogeneous) might not be realistic. Clustering: simple parts will be absorbed by complex parts.

22 May 11th, 2000Talk at NWU, Dirk Stroobandt 22 Local Rent exponent Higher partitioning levels: Rent exponents will merge. Spreading of the values with steep slope (decreasing) for complex part and gentle slope (increasing) for simple part. Local Rent exponent tangent slope of the line that combines all partitions containing the local block(s). T B 1 1 1 1 1 1 2 2 2 2 2 2

23 May 11th, 2000Talk at NWU, Dirk Stroobandt 23 Heterogeneous Rent’s rule Suggested by (Zarkesh-Ha, Davis, Loh, and Meindl,’98) Weighted arithmetic average of the logarithm of T: Heterogeneous Rent’s rule (for 2 parts):

24 May 11th, 2000Talk at NWU, Dirk Stroobandt 24 Use of Rent’s rule in CAD Rent’s rule is very powerful as a measure of interconnection complexity Can aid in the partitioning process Benchmark generators are based on Rent’s rule Is basis for a priori estimates in CAD

25 May 11th, 2000Talk at NWU, Dirk Stroobandt 25 Rent’s rule in partitioning Actual goal: minimize the number of pins per module. We should use a pin count criterion. External multi-terminal nets lead to only one new pin instead of two when cut. Preferring external nets to be cut will better keep clusters together.

26 May 11th, 2000Talk at NWU, Dirk Stroobandt 26 Rent’s rule in partitioning Solution: use a new ratio value (in ratiocut partitioning) based on terminal count: Better partitions are obtained because the total number of pins for each module is taken into account by the cost function.

27 May 11th, 2000Talk at NWU, Dirk Stroobandt 27 Rent’s rule in partitioning Better (ratio cut) heuristic by using terminal count prediction (Stroobandt, ISCAS‘99). Clustering property of the ratio cut: use Rent’s rule instead of uniformly distributed random graph. New ratio: Instead of old ratio:

28 May 11th, 2000Talk at NWU, Dirk Stroobandt 28 Rent’s rule in partitioning Important (especially in pin-limited designs): terminal balancing (Stroobandt, Swiss CAD/CAM‘99). Minimizing the terminal count alone is not enough. Additional cost function for terminal balancing: Terminal

29 May 11th, 2000Talk at NWU, Dirk Stroobandt 29 Rent’s rule in benchmark generation Generating benchmarks in a hierarchical way Rent’s rule is used for estimating the number of connections Other parameters have to be controlled as well: –Classical parameters: *total number of gates *total number of nets *total number of pins –Gate terminal distribution –Net degree distribution Other issues: gate functionality, redundancy, timing constraints,...

30 May 11th, 2000Talk at NWU, Dirk Stroobandt 30 Why do we need a priori interconnect prediction? Basic models Rent’s rule with extensions and applications A priori wirelength prediction New evolutions: 3D and anisotropic systems System-level predictions Applications Conclusions Outline

31 May 11th, 2000Talk at NWU, Dirk Stroobandt 31 1. Partition the circuit into 4 modules of equal size such that Rent’s rule applies (minimal number of pins). 2. Partition the Manhattan grid in 4 subgrids of equal size in a symmetrical way. Donath’s hierarchical placement model

32 May 11th, 2000Talk at NWU, Dirk Stroobandt 32 3. Each subcircuit (module) is mapped to a subgrid. 4. Repeat recursively until all logic blocks are assigned to exactly one grid cell in the Manhattan grid. Donath’s hierarchical placement model mapping

33 May 11th, 2000Talk at NWU, Dirk Stroobandt 33 Donath’s length estimation model At each level: Rent’s rule gives number of connections number of terminals per module directly from Rent’s rule (partitioning based Rent exponent p’); every net not cut before (internal net): 2 new terminals; every net previously cut (external net): 1 new terminal; assumption: ratio f = (#internal nets)/(#nets cut) is constant over all levels k (Stroobandt and Kurdahi, GLSVLSI’98); number of nets cut at level k (N k ) equals where  =1/(1+f);  depends on the total number of nets in the circuit and is bounded by 0.5 and 1.

34 May 11th, 2000Talk at NWU, Dirk Stroobandt 34 Donath’s length estimation model Length of the connections at level k ? Donath assumes: all connection source and destination cells are uniformly distributed over the grid. Adjacent ( A -) combination Diagonal ( D -) combination

35 May 11th, 2000Talk at NWU, Dirk Stroobandt 35 Number of connections at level k: Average length A -combination: Average length D -combination: Average length level k: Total average length: with and 2 K = G = total number of gates Average interconnection length

36 May 11th, 2000Talk at NWU, Dirk Stroobandt 36 Results Donath Scaling of the average length L as a function of the number of logic blocks G : L G 0 5 10 15 20 25 30 11010010 3 10 6 10 5 10 4 10 7 p = 0.7 p = 0.5 p = 0.3 Similar to measurements on placed designs.

37 May 11th, 2000Talk at NWU, Dirk Stroobandt 37 Results Donath Theoretical average wire length too high by a factor 2 10000 L G 1 2 3 4 6 5 7 101001000 8 experiment theory 0

38 May 11th, 2000Talk at NWU, Dirk Stroobandt 38 Enumeration: site density function (only architecture dependent). Occupying probability favours short interconnections (for an optimal placement) (darker) Keep wire length scaling by hierarchical placement. Improve on uniform probability for all connections at one level (not a good model for an optimal placement). Including optimal placement model

39 May 11th, 2000Talk at NWU, Dirk Stroobandt 39 Including optimal placement model Wirelength distributions contain two parts: site density function and probability distribution all possibilities requires enumeration (use generating polynomials) probability of occurrence shorter wires more probable

40 May 11th, 2000Talk at NWU, Dirk Stroobandt 40 Wire length distribution From this we can deduct that For short lengths: Local distributions at each level have similar shapes (self-similarity)  peak values scale. Integral of local distributions equals number of connections. Global distribution follows peaks.

41 May 11th, 2000Talk at NWU, Dirk Stroobandt 41 Occupying probability: results 8 Occupying prob. 10000 L G 1 2 3 4 6 5 7 101001000 0 Donath experiment Use probability on each hierarchical level (local distributions).

42 May 11th, 2000Talk at NWU, Dirk Stroobandt 42 Occupying probability: results Effect of the occupying probability: boosting the local wire length distributions (per level) for short wire lengths Occupying prob. 100 Wire length percent of wires 10 1 0,1 0,01 10 -3 10 -4 100001101001000 per level total global trend Donath 1 Wire length 101001000 per level total global trend 10000

43 May 11th, 2000Talk at NWU, Dirk Stroobandt 43 Effect of the occupying probability on the total distribution: more short wires = less long wires  average wire length is shorter Occupying probability: results 100 10 1 10 -1 10 -2 10 -3 10 -4 10 -5 110100100010000 Wire length percent wires Occupying prob. Donath

44 May 11th, 2000Talk at NWU, Dirk Stroobandt 44 Occupying probability: results 10 20 30 40 50 60 110 Wire length Percent wires Occupying prob. Donath 2 345678 9 global trend -23% -8% +10% +6%

45 May 11th, 2000Talk at NWU, Dirk Stroobandt 45 Occupying probability: results 0,1 1 10 100 1000 1100 Wire length Number of wires Occupying prob. Donath measurement 10

46 May 11th, 2000Talk at NWU, Dirk Stroobandt 46 Davis’ probability function Introduced by Davis, De, and Meindl (IEEE T El. Dev., ‘98). Number of interconnections at distance l is calculated for every gate separately, using Rent’s rule. Three regions: gate under investigation (A), target gates (C), and gates in between (B). Number of connections between A and C is calculated. This approach alleviates the discrete effects at the boundaries of the hierarchical levels while maintaining the scaling behaviour.

47 May 11th, 2000Talk at NWU, Dirk Stroobandt 47 Davis’ probability function B B BB BB B ABB BB B C C C C C C C C C C C C C BA C BA C BA C BA C BA =+-- TACTAC TABTAB T BC TBTB T ABC = +-- Assumption: net cannot connect A,B, and C

48 May 11th, 2000Talk at NWU, Dirk Stroobandt 48 Davis’ probability function B B BB BB B ABB BB B C C C C C C C C C C C C For cells placed in infinite 2D plane

49 May 11th, 2000Talk at NWU, Dirk Stroobandt 49 Planar wirelength model A L L Finite system, B tot =L 2, no edges, approximate form for q(l) 28

50 May 11th, 2000Talk at NWU, Dirk Stroobandt 50 Planar wirelength model B (Davis) L L Finite system, B tot =L 2, includes edge effects, use q(l) 29

51 May 11th, 2000Talk at NWU, Dirk Stroobandt 51 Planar wirelength model comparison Model A Model B B tot = 1024 p = 0.66 Model A: L av = 4.53 Model B: L av = 2.27 30

52 May 11th, 2000Talk at NWU, Dirk Stroobandt 52 Relationship between models from Davis (planar model B) and Stroobandt (hierarchical model C) D c (l,h) D b (l) same q’(l) essentially identical!

53 May 11th, 2000Talk at NWU, Dirk Stroobandt 53 Hierarchical wirelength model comparison Model D Model C C tot = 1024 p = 0.66 Model C (Stroobandt): L av = 2.05 Model D (Donath): L av = 5.14 Model C: q(l) and hierarchy Model D: only hierarchy (q(l)=1)

54 May 11th, 2000Talk at NWU, Dirk Stroobandt 54 Planar and hierarchical model comparison Model D Model C Model A Model B Models B (planar) and C (hierarchical ) are equivalent if the Rent exponent used for the probability function (depends on placement) and the one used for the number of nets per hierarchical level (based on partitioning) are the same

55 May 11th, 2000Talk at NWU, Dirk Stroobandt 55 Why do we need a priori interconnect prediction? Basic models Rent’s rule with extensions and applications A priori wirelength prediction New evolutions: 3D and anisotropic systems System-level predictions Applications Conclusions Outline

56 May 11th, 2000Talk at NWU, Dirk Stroobandt 56 Extension to three-dimensional grids

57 May 11th, 2000Talk at NWU, Dirk Stroobandt 57 Three-dimensional grids: basic results Average length converges (for G  ) up to r = 2/3  1/2 Average wire length is lower than for 2D (no long wires)

58 May 11th, 2000Talk at NWU, Dirk Stroobandt 58 Anisotropic systems

59 May 11th, 2000Talk at NWU, Dirk Stroobandt 59 Anisotropic systems Basic method: Donath’s method in 3D Not all dimensions are equal (e.g., optical links in 3rd D) possibly larger latency of the optical link (compared to intra- chip connection); influence of the spacing of the optical links across the area (detours may have to be made); limitation of number of optical layers Introducing an optical cost

60 May 11th, 2000Talk at NWU, Dirk Stroobandt 60 Anisotropic systems If limited number of layers: use third dimension for topmost hierarchical levels (fewest interconnections). For lower levels: 2D method. 2D and 1D partitioning are sometimes used to get closer to the (optimal in isotropic grids) cubic form. Depending on the optical cost, it is advantageous either to strive for getting to the electrical plains as soon as possible (high optical cost, use at high levels only) or to partition the electrical planes first (low optical cost).

61 May 11th, 2000Talk at NWU, Dirk Stroobandt 61 External nets Importance of good wire length estimates for external nets during the placement process: For highly pin-limited designs: placement will be in a ring-shaped fashion (along the border of the chip).

62 May 11th, 2000Talk at NWU, Dirk Stroobandt 62 Wire lengths at system level At system level: many long wires (peak in distribution). How to model these? Davis and Meindl ‘98: estimation based on Rent’s rule with the floorplanning blocks as logic blocks. IMPORTANT!

63 May 11th, 2000Talk at NWU, Dirk Stroobandt 63 Improving CAD tools for design layout Digital design is complex Computer-aided design (CAD) More efficient layout generation requires good wire length estimates. Layer assignment in routing effects of vias, blockages congestion,... A priori estimates are rough but can already provide us with a lot of information.

64 May 11th, 2000Talk at NWU, Dirk Stroobandt 64 Evaluating new computer architectures Estimation for evaluating and comparing different architectures Circuit characterization We need parameters to classify circuits in classes and to optimize them. Benchmark generation based on Rent’s rule.

65 May 11th, 2000Talk at NWU, Dirk Stroobandt 65 Conclusion Wire length estimates are becoming more and more important. A priori estimates can provide a lot of information at virtually no cost. Methods are based on Rent’s rule. Important for future research: how can we build a priori estimates into CAD layout tools? More information at http://www.elis.rug.ac.be/~dstr/dstr.html


Download ppt "Dirk Stroobandt Ghent University Electronics and Information Systems Department A Priori System-Level Interconnect Prediction The Road to Future Computer."

Similar presentations


Ads by Google