Presentation is loading. Please wait.

Presentation is loading. Please wait.

Embryonics: A New Methodology for Designing Field-Programmable Gate Arrays with Self-Repair and Self-Replicating Properties Laboratory for Reliable Computing.

Similar presentations


Presentation on theme: "Embryonics: A New Methodology for Designing Field-Programmable Gate Arrays with Self-Repair and Self-Replicating Properties Laboratory for Reliable Computing."— Presentation transcript:

1 Embryonics: A New Methodology for Designing Field-Programmable Gate Arrays with Self-Repair and Self-Replicating Properties Laboratory for Reliable Computing (LaRC) Electrical Engineering Department National Tsing Hua University Daniel Mange, Member, IEEE, Eduardo Sanchez, Member, IEEE, Andre Stauffer,Member, IEEE, Gianluca Tempsti, Member, IEEE, Pierre Marchal, Member, IEEE, and Christian Piguet IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEM, VOL. 6, NO. 3, SEPTEMBER 1998 Chan-Chuan Lee

2 2 Outline  Introduction  The Foundations of EMBRYONICS  System of Ordered Binary Decision Diagrams(OBBD)  A New Field-Programmable Gate Array Based on a Multiplexer Cell  Cellular differentiation: Genome Interpretation  Cellular Division: Duplication of The Genome  Self-Replication and Self-Repair Properties  Conclusions

3 3 Introduction(1/2)  The growth and the operation of all living beings are directed through the interpretation, in each of their cells, of a chemical program, the DNA string or genome.  Any logic system can be represented by an order binary decision diagram(OBBD), and then embedded into a fine-grained field-programmable gate array(FPGA).  The cellular array thus obtained is perfectly homogeneous: the function of each cell is defined by a configuration(or gene) and all the genes in the, each associated with a pair of coordinates, make up the genome of the articial organism.

4 4 Introduction(2/2)  The interpreter extracts from the genome, the gene of a particular cell as a function of its position in the array.  Self-Replication(the automatic production of one or more copies of the original organism)  Self-Repair (the automatic repair of one or more faulty cells)

5 5 Objectives and Strategy  Develop very large scale integrated (VLSI) circuits capable of self-repair and self-replication.  Self-replication allows complete reconstruction of the original device in case of a major fault while self-repair is opposite.  Order binary decision diagram(OBBD) greatly simplify the realization of a new family of FPGA’s, based a fine-grain cell. This cell is called MUXTREE.

6 6 The Foundations of Embryonics(1/2)  The general hypothesis about the environment  First feature: Multicellular Organization

7 7 The Foundations of Embryonics(2/2)  Second feature: Cellular Differentiation.  Third feature:Cellular Division.

8 8 Synthesis of Ordered Binary  OBBD is a graphical representation which exploits well the 2-D space and immediately suggests a physical realization on silicon.  OBBD lead us to a natural decomposition into cells realizing a logic test, easily implemented by a multiplexer.

9 9 Modulo-4 up-down Counter  An example for this

10 10 Modulo-4 up-down Counter(1/2)

11 11 Modulo-4 up-down Counter(2/2)

12 12 Hardware Implementation  The goal is to implement directly the ordered binary decision diagram on silicon.  Replace each test elements with 2-to-1 multiplexer.  The two state functions Q1+ and Q0+ are available at the outputs of the top multiplexers.

13 13 A New Field-Programmable Gate Arrays Based on A Multiplexer Cell  Each of the two inputs of the multiplexer(labeled “0” and “1”) will be programmable.  The output of the mux will be, therefore, connected to the inputs of the muxs in the neighboring cells to the north, northeast, and northwest.  Sequential systems require the presence, in each cell, of a synchronous memory element, a D-type flip-flop.

14 14 MUXTREE Cell  Fig-(a) : Detailed architecture  Fig-(b) : The 20-bit data GENE 19:0 with P=PRESET, R=REG, and EB = EBUS.

15 15 The Switch Block SB  Fig-(a) : Interconnection possibilities.  Fig-(b) : Detailed Architecture.

16 16 Nine-Cell Implementation of The Up- Down Counter  Fig-(a) : logic Level  Fig-(b) :bus level  Use two D- type flip- flops,generat es the variables Q1 and Q0 in place of Q1+ and Q0+.

17 17 Hierarchical Overview of the Three Layers  For the sake of simplicity, decompose it in three components.  Memory stores a single gene per address.

18 18 Microprogrammed Realization  Use a microprogram to compute the local coordinates X and Y and to extract from our artificial genome.  Up-down counter can be considered as a truth table whose input are coordinates or addresses X and Y and whose output are genes GENE 19:0  Express coordinates X and Y in pure binary code, using the logic variables X1, X0, Y1, Y0.

19 19 Computation and Genome Representation  Fig-(a) : Gene computation

20 20 Computation and Genome Representation  Fig-(b) X coordinates computation.  Fig-(c) Up-down counter genome.

21 21 NANOPASCAL : A High-Level Language  Define a programming language well suited for the description, the interpretation and the duplication of the genome.

22 22 NANOPASCAL language  Fig-(a) : Syntactic diagram

23 23 NANOPASCAL language  Fig-(b) : Microprogram GENOME

24 24 NANOPASCALINE : An Interpreter for the NANOPASCAL Language  Detailed architecture with format and operation code (OPC) for the six instruction of the language

25 25 Cellular Division : Duplication of the GENOME  The duplication of the GENOME microprogram is accomplished automatically, in parallel with its interpretation.  The GENOME microprogram is thus duplicated in permanence, resulting in a great simplicity of excellent wiring and reliability.  Since an eventual transient fault(copy error)during a cycle will be corrected in the next cycle.

26 26 Properties of the Up-Down Counter  Fig-(a) Self-replication  Fig-(b) Self-repair

27 27 BIODULE: Demonstration Artificial Digital Cell

28 28 BIODULE : Demonstration Artificial Digital Cell  Detailed architecture

29 29 Conclusions  The result of the paper is the development of a new family of FPGA’s called MUXTREE.  Self-repair and self-replication are easy to realize.  Future perspectives.  The main drawback of the BIODULE cell is the lack of balance between MUXTREE.  To develop a new coarse-grained FPGA.


Download ppt "Embryonics: A New Methodology for Designing Field-Programmable Gate Arrays with Self-Repair and Self-Replicating Properties Laboratory for Reliable Computing."

Similar presentations


Ads by Google