Presentation is loading. Please wait.

Presentation is loading. Please wait.

IBM Microelectronics © 2005 IBM Corporation SLIP 2005April 2, 2005 Bounding the Impact of Transient Power Supply Noise in Static Timing Analysis Over a.

Similar presentations


Presentation on theme: "IBM Microelectronics © 2005 IBM Corporation SLIP 2005April 2, 2005 Bounding the Impact of Transient Power Supply Noise in Static Timing Analysis Over a."— Presentation transcript:

1 IBM Microelectronics © 2005 IBM Corporation SLIP 2005April 2, 2005 Bounding the Impact of Transient Power Supply Noise in Static Timing Analysis Over a Realistic Activity Space David Hathaway April 2, 2005

2 IBM Microelectronics © 2005 IBM Corporation 2SLIP 2005April 2, 2005 Outline  Background and problem description  Prior work  This work –Modeling realizable patterns of activity –Determining peak voltage variation –Determining bounding timing conditions –Applications to design planning  Remaining issues

3 IBM Microelectronics © 2005 IBM Corporation 3SLIP 2005April 2, 2005 Power supply networks as System-Level Interconnect  Power supply networks do communicate information –Power demand in one place affects voltages in others Northeast blackout August 14, 2003

4 IBM Microelectronics © 2005 IBM Corporation 4SLIP 2005April 2, 2005 Problem addressed  Determine maximum impact of transient power supply noise on chip timing VDD Board / Package Current waveform Object switching Voltage waveform Delay variation

5 IBM Microelectronics © 2005 IBM Corporation 5SLIP 2005April 2, 2005 Why is this becoming more important? Why Hot Chips Are no Longer “COOL” - Ray Bryant Steam Iron 5W/cm2  Power density is increasing (again)

6 IBM Microelectronics © 2005 IBM Corporation 6SLIP 2005April 2, 2005 Why is this becoming more important?  Delay sensitivity to supply voltage is increasing –Due to voltage reductions needed to contain power density Delay Supply voltage Rise & fall delays of 2-way AND

7 IBM Microelectronics © 2005 IBM Corporation 7SLIP 2005April 2, 2005 Power Supply Noise – Voltage Response A trivial network model demonstrates key noise characteristics: VDD Chip Board / Package What is the “circuit’s view” voltage response to the switching current signature illustrated above?

8 IBM Microelectronics © 2005 IBM Corporation 8SLIP 2005April 2, 2005 + - v(t) Static IR Drop Voltage Response At Circuit Terminals

9 IBM Microelectronics © 2005 IBM Corporation 9SLIP 2005April 2, 2005 Delay dependence on voltage  Traditional models use a single supply voltage per gate –Reality is more complicated Drive (& hence delay) of gate G2 is a function of Vdd & Gnd of both G1 and G2 Most power supply noise is differential (Vdd drops as Gnd rises)

10 IBM Microelectronics © 2005 IBM Corporation 10SLIP 2005April 2, 2005 Why is it hard to find the worst timing impact?  Naïve approach –Determine worst voltage at each node (max Gnd, min Vdd) –Time circuit with these voltages  Problems –Timing test compare early/late clock/data –Worst slack (for setup test) when data is slow relative to clock Max voltage drop Max delay Max voltage drop gradient Worst slack

11 IBM Microelectronics © 2005 IBM Corporation 11SLIP 2005April 2, 2005 Outline  Background and problem description  Prior work  This work –Modeling realizable patterns of activity –Determining peak voltage variation –Determining bounding timing conditions –Applications to design planning  Summary

12 IBM Microelectronics © 2005 IBM Corporation 12SLIP 2005April 2, 2005 Use superposition to determine worst timing  Vectorless Analysis of Supply Noise Induced Delay Variation, S. Pant, D. Blaauw, et. al., U. Michigan, ICCAD 2003 –Bound current demand at each power network node –Simulate current impulse applied at each power network node –Model delay linearly with voltage –Use delay model & power network response to model path delay as function of current at each node in each cycle –Use linear optimizer to determine current profiles which give worst path delay –Use spatial and temporal superposition of voltage waveforms

13 IBM Microelectronics © 2005 IBM Corporation 13SLIP 2005April 2, 2005 Spatial superpositioning  Compute voltage waveforms for different aggressors  Add selected waveforms with no time shifting A B B B A A + =

14 IBM Microelectronics © 2005 IBM Corporation 14SLIP 2005April 2, 2005 Temporal superpositioning  Compute voltage waveforms for one cycle of each aggressor (simulate until transients die out)  Add time shifted copies of waveform to get impact of operation over many cycles Voltage drop Current Steady state voltage drop

15 IBM Microelectronics © 2005 IBM Corporation 15SLIP 2005April 2, 2005 Use superposition to determine worst timing 0 1 2 n 01n A B J(i,j) V(0,0) V(n,n) … … … … 0 1 2 n 01n … Find ∆J ij to maximize D path ∑

16 IBM Microelectronics © 2005 IBM Corporation 16SLIP 2005April 2, 2005 Limitations of prior work  Cannot easily restrict analysis to realizable activities –Allows only linear constraints between current weights  Path-oriented analysis –Subject to exponential path enumeration issues  Assumes constant voltage within cycle –Loses high frequency variation

17 IBM Microelectronics © 2005 IBM Corporation 17SLIP 2005April 2, 2005 Constraints on realizable activities  Example – either banks 0 & 1 switch or bank 2 switches or bank 3 switches p2 p1 0123  Banks with worst effect differ for paths p1 and p2  Cannot constraint total switching –S(0) + S(1) > S(2) or S(3) alone  Cannot constrain relation between banks –S(0), S(1) > S(2) for p1 –S(2) > S(0), S(1) for p1  Selection of worst banks is not a linear problem

18 IBM Microelectronics © 2005 IBM Corporation 18SLIP 2005April 2, 2005 Constraints on realizable activities  Example – clock dithering to limit noise from clock gating Unconstrained Dithered

19 IBM Microelectronics © 2005 IBM Corporation 19SLIP 2005April 2, 2005 Outline  Background and problem description  Prior work  This work –Modeling realizable patterns of activity –Determining peak voltage variation –Determining bounding timing conditions –Applications to design planning  Summary

20 IBM Microelectronics © 2005 IBM Corporation 20SLIP 2005April 2, 2005 Overview of our approach  Identify “objects of interest” (OOIs) –Large or high power cores of SOC –Regions of random logic  Simulate voltage drops due to each OOI  Determine allowable patterns / sequences of activity for OOIs –Modeled as BDDs  Determine max / min v(t) for each node  Use min / max v to screen, find critical subnetwork  Use block-based statistical timing with OOI activities as variables to refine timing  Determine worst slack for each timing test within allowable sequences  Reanalyze paths with nonlinear delay dependencies to validate / refine slacks

21 IBM Microelectronics © 2005 IBM Corporation 21SLIP 2005April 2, 2005 Simulating OOI currents  Use fast linear power grid simulator –Uses fixed time step, explicit matrix inversion Initial overhead –Allows very fast simulation of many waveforms –Changing OOI currents, location of application is very fast –Changing power grid (or adding decoupling caps) is slower

22 IBM Microelectronics © 2005 IBM Corporation 22SLIP 2005April 2, 2005 Modeling allowable conditions  Determine set of conditions which must be met –Model as Boolean functions of OOI activity in different cycles –Examples No more than k of n memory banks switch in one cycle Clock cannot have X cycles off followed by Y cycles on  Represent AND of all conditions as BDD –One BDD for all chip constraints –Variables are activity of OOIs in particular cycles –Subsequent steps depend linearly on BDD size –… so aggressively reorder for minimum size x 2 01 x 3 x 1 0 1 F 0 1 0 1

23 IBM Microelectronics © 2005 IBM Corporation 23SLIP 2005April 2, 2005 Finding extreme voltages  DFS traversal of constraint BDD –One traversal per node, per alignment in cycle –Assign weights to BDD variables based on voltage of node at alignment time due to OOI switching in a particular cycle –Determine extreme (min/max) value at each node T2T3T T2T3T T2T3T V a (t) V a (t+T) V b (t) …

24 IBM Microelectronics © 2005 IBM Corporation 24SLIP 2005April 2, 2005 Finding extreme voltages  For each node / alignment –∆V i = delta voltage when OOI i is active –Min value V min (n) at BDD node n with variable l(n), children c0(n), c1(n): –V min (0)=+infinity, V min (1)=V nom –V min of root is min realizable voltage –Similar form for max voltage Variables skipped by 0 edge Variables skipped by 1 edge Contribution of this OOI switching 0 child value 1 child value

25 IBM Microelectronics © 2005 IBM Corporation 25SLIP 2005April 2, 2005 Finding extreme voltages  Example 1 22 3 01 -infinity 1.5 V 3 = +0.18 V 2 = -0.25 V 1 = -0.1 1.68 Node / offset 1 1.431.25

26 IBM Microelectronics © 2005 IBM Corporation 26SLIP 2005April 2, 2005 Finding extreme voltages  Example 1 22 3 01 -infinity 1.5 -infinity 1.5 V 3 = +0.13 V 2 = -0.15 V 1 = -0.15 1.63 Node / offset 2 1.481.35 1.33

27 IBM Microelectronics © 2005 IBM Corporation 27SLIP 2005April 2, 2005 Application to timing 1.Use min (late) / max (early) voltages to filter errors 2.Apply block-based statistical timing to remaining regions  Delay / Arrival times / slacks are functions of OOI activity  Max / min propagated statistically  Sample waveform voltages at mean arrival time  Result is slack equation for each test  Find worst slack by BDD traversal

28 IBM Microelectronics © 2005 IBM Corporation 28SLIP 2005April 2, 2005 Block-based statistical timing  Deterministic  Statistical a b c + + MAX b a c + +

29 IBM Microelectronics © 2005 IBM Corporation 29SLIP 2005April 2, 2005 Waveform sampling for delay calculation 1. Get arrival time t 3. Compute delay 2. Get V(t) 4. Get arrival time t 6. Compute delay 5. Get V(t)...

30 IBM Microelectronics © 2005 IBM Corporation 30SLIP 2005April 2, 2005 Applications to Design Planning  Get OOI locations from floorplan  Determine extreme voltages –Detailed paths not yet known, so can’t apply to timing –Impose bounds on voltage  Modifications to fix errors –Add decoupling caps Only useful for short transient limit violations –Move OOIs –Impose restrictions on allowed activity patterns

31 IBM Microelectronics © 2005 IBM Corporation 31SLIP 2005April 2, 2005 Updating voltage extremes OOI current location Power grid model build OOI activity restriction Power grid simulations Decap changes Object movement Power grid changes slow medium Activity constraint changes fast

32 IBM Microelectronics © 2005 IBM Corporation 32SLIP 2005April 2, 2005 (Some) remaining issues  Current depends on voltage –Ignored by superposition approach  Block-based statistical timing may not apply well –Need to validate predicted worst activity patterns –Fall-backs - use path-based approach  Picking the right set / granularity of OOIs  Determining activity constraints –Need links to system-level modeling

33 IBM Microelectronics © 2005 IBM Corporation 33SLIP 2005April 2, 2005 Acknowledgements  Thanks to many people in IBM working on these issues, and in particular: –Ivan Wemple –Chandu Visweswariah –Sani Nassif –Doug Stout –Kerim Kalafala


Download ppt "IBM Microelectronics © 2005 IBM Corporation SLIP 2005April 2, 2005 Bounding the Impact of Transient Power Supply Noise in Static Timing Analysis Over a."

Similar presentations


Ads by Google