Presentation is loading. Please wait.

Presentation is loading. Please wait.

邏輯設計 題目:3_8解碼器 姓名:李國豪 學號:B09322001.

Similar presentations


Presentation on theme: "邏輯設計 題目:3_8解碼器 姓名:李國豪 學號:B09322001."— Presentation transcript:

1 邏輯設計 題目:3_8解碼器 姓名:李國豪 學號:B09322001

2 目標  將三個BCD輸入訊號解碼成八個LED顯示0~7十進制電路

3 真值表

4 當I(2),I(1),I(0)輸入為 ” 0 0 0 “ , 則輸出O(0)~O(7)為 ”10000000”

5 寫出VHDL的程式 Library ieee; -- 引用ieee資料庫
use ieee.std_logic_1164.all; 使用ieee中的所有元件 entity Decoder3_8 is 宣告IC長相 port( I: in std_logic_vector(2 downto 0); O: out std_logic_vector(7 downto 0)); End; architecture main of Decoder3_8 is -- 定義電路結構 begin process(I) -- 處理輸入I if I="000" then O<=" "; elsif I="001" then O<=" "; elsif I="010" then O<=" "; elsif I="011" then O<=" "; elsif I="100" then O<=" "; elsif I="101" then O<=" "; elsif I="110" then O<=" "; else O<=" "; end if; end process; end;

6 LATTICE使用 從 開始 程式集 Lattice Semiconductor 開啟檔案

7 新增一個Project 輸入檔名 選擇VHDL格式

8 1.選擇所要用的裝置(Device) 2.選ispLSI2032E 3.OK按下去

9 1.新增一個原始檔Source 3.OK 2.選擇VHDL Module格式

10 1.輸入檔名Decoder3_8 4.OK 2.實體名稱 3.結構名稱

11 編輯好之後存檔

12 跑看看程式碼有沒有錯誤

13 設定接腳

14 設定接腳 設定好之後存檔

15 1.搜尋Download Cable 2.燒錄至實驗板就完成了實驗

16 Synplify的使用 開一個Project 新的Project

17 開 NEW HDL File 把程式碼打好

18 1.加入檔案 2.選擇檔案 3.加入 4.OK

19 程式跑一遍 成功囉

20 閱覽電路圖


Download ppt "邏輯設計 題目:3_8解碼器 姓名:李國豪 學號:B09322001."

Similar presentations


Ads by Google