Presentation is loading. Please wait.

Presentation is loading. Please wait.

Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - Gustavo R. Alves -

Similar presentations


Presentation on theme: "Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - Gustavo R. Alves -"— Presentation transcript:

1 Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - rjc@isep.ipp.ptrjc@isep.ipp.pt Gustavo R. Alves - gca@isep.ipp.ptgca@isep.ipp.pt Mário Zenha-Rela - mzrela@dei.uc.ptmzrela@dei.uc.pt 1st Experiment@ International Conference Lisbon, Portugal 17 th – 18 th, November 2011

2 Ricardo Costa - 2011 rjc@isep.ipp.pt - http://www.dee.isep.ipp.pt/~rjc Introduction IEEE1451.0 Std. overview - Modules & Layers - TEDS structure - HTTP API Distributed weblab architecture LabTEDS Operational sequence - Registration & Discovery & Access Thin implementation Conclusions 2/16 Presentation outline Introduction IEEE1451.0 Std. overview – Modules & Layers – TEDS structure – HTTP API Distributed weblab architecture LabTEDS Operational sequence – Registration – Discovery – Access process (reconfiguration & logging) Thin implementation Conclusions

3 Ricardo Costa - 2011 rjc@isep.ipp.pt - http://www.dee.isep.ipp.pt/~rjc Introduction IEEE1451.0 Std. overview - Modules & Layers - TEDS structure - HTTP API Distributed weblab architecture LabTEDS Operational sequence - Registration & Discovery & Access Thin implementation Conclusions 3/16 Introduction Engineering & Sciences courses require the adoption of good Teaching & Learning processes involving experimental and theoretical work components Theoretical workExperimental work - Traditional classes - Virtual classes VLE (Virtual Learning Environments) - Traditional laboratories - Remote Laboratories (Weblabs) Implemented using diferent architectures and APIs (Application Programming Interfaces) Difficult Developments & Resource Sharing Why ? Global Online Laboratory Consortium

4 Ricardo Costa - 2011 rjc@isep.ipp.pt - http://www.dee.isep.ipp.pt/~rjc Introduction IEEE1451.0 Std. overview - Modules & Layers - TEDS structure - HTTP API Distributed weblab architecture LabTEDS Operational sequence - Registration & Discovery & Access Thin implementation Conclusions 4/16 IEEE1451.0 Std. overview Transducer Interface Module (TIM): controls a set of Transducer Channels (TCs), implementing commands and protocols, supported on information within Transducer Electronic Data Sheets (TEDSs). Network Capable Application Processor (NCAP): performs network and TIM communications, data conversion and processing functions supported on Application Programming Interfaces (APIs). Standard for network interface smart transducers (Sensors & Actuators) Main modules

5 Ricardo Costa - 2011 rjc@isep.ipp.pt - http://www.dee.isep.ipp.pt/~rjc Introduction IEEE1451.0 Std. overview - Modules & Layers - TEDS structure - HTTP API Distributed weblab architecture LabTEDS Operational sequence - Registration & Discovery & Access Thin implementation Conclusions 5/16 IEEE1451.0 Std. overview Modules & Layers

6 Ricardo Costa - 2011 rjc@isep.ipp.pt - http://www.dee.isep.ipp.pt/~rjc Introduction IEEE1451.0 Std. overview - Modules & Layers - TEDS structure - HTTP API Distributed weblab architecture LabTEDS Operational sequence - Registration & Discovery & Access Thin implementation Conclusions 6/16 IEEE1451.0 Std. overview TEDS structure (Transducer Electronic Data Sheet)

7 Ricardo Costa - 2011 rjc@isep.ipp.pt - http://www.dee.isep.ipp.pt/~rjc Introduction IEEE1451.0 Std. overview - Modules & Layers - TEDS structure - HTTP API Distributed weblab architecture LabTEDS Operational sequence - Registration & Discovery & Access Thin implementation Conclusions 7/16 IEEE1451.0 Std. overview HTTP API General format: http:// : / ? ) HTTP API: Discovery: Discovers IEEE1451.x communications modules, TIMs and TCs; TransducerAccess: Reads/Writes TCs; TEDSManager: Reads/Writes TEDS and manage NCAP-side cached TEDS. TransducerManager: Provides control functions over TIM accesses, e.g., send arbitrary low-level commands to it.

8 Ricardo Costa - 2011 rjc@isep.ipp.pt - http://www.dee.isep.ipp.pt/~rjc Introduction IEEE1451.0 Std. overview - Modules & Layers - TEDS structure - HTTP API Distributed weblab architecture LabTEDS Operational sequence - Registration & Discovery & Access Thin implementation Conclusions 8/16 Distributed weblab architecture New TEDS Thin approach Represents weblab instruments/modules (I&M) to be reconfigured in TIMs implemented using FPGA-based boards. "Work-in-progress on a thin IEEE1451.0-architecture to implement reconfigurable weblab infrastructures" Vol. 7, No. 3 (2011) of International Journal of Online Engineering (iJOE). ISSN: 1861-2121, November 2011 (already presented at REV'2011). Map table

9 Ricardo Costa - 2011 rjc@isep.ipp.pt - http://www.dee.isep.ipp.pt/~rjc Introduction IEEE1451.0 Std. overview - Modules & Layers - TEDS structure - HTTP API Distributed weblab architecture LabTEDS Operational sequence - Registration & Discovery & Access Thin implementation Conclusions 9/16 LabTEDS An experiment may require several weblabs Implemented as a thin or standard architecture (depends on the adopted APIs) Weblab URI location Log file URI location (assessment purposes) Text-based TEDS Lab2go Metadata - Reference Model Specification

10 Ricardo Costa - 2011 rjc@isep.ipp.pt - http://www.dee.isep.ipp.pt/~rjc Introduction IEEE1451.0 Std. overview - Modules & Layers - TEDS structure - HTTP API Distributed weblab architecture LabTEDS Operational sequence - Registration & Discovery & Access Thin implementation Conclusions 10/16 Operational Sequence New IEEE1451.0 HTTP API functions and interfaces: NCAPRegister, to register or unregister NCAPs (new Register API interface); NCAPDiscovery, to discovery NCAPs (Discovery API interface); ReadLabTeds and WriteLabTeds, to read and write LabTEDS (TEDS manager API interface); ReadTIM and WriteTIM, to reconfigure weblab infrast. (new Reconfiguration API interface) and; ReadLog and WriteLog, to read/write a log file for assessment (new Log access API interface). Overview

11 Ricardo Costa - 2011 rjc@isep.ipp.pt - http://www.dee.isep.ipp.pt/~rjc Introduction IEEE1451.0 Std. overview - Modules & Layers - TEDS structure - HTTP API Distributed weblab architecture LabTEDS Operational sequence - Registration & Discovery & Access Thin implementation Conclusions 11/16 Operational Sequence Registration Process of register/unregister weblab infrastructures

12 Ricardo Costa - 2011 rjc@isep.ipp.pt - http://www.dee.isep.ipp.pt/~rjc Introduction IEEE1451.0 Std. overview - Modules & Layers - TEDS structure - HTTP API Distributed weblab architecture LabTEDS Operational sequence - Registration & Discovery & Access Thin implementation Conclusions 12/16 Operational Sequence Discovery Using NCAPDiscovery and ReadLabTeds functions to access registered weblabs infrastructures

13 Ricardo Costa - 2011 rjc@isep.ipp.pt - http://www.dee.isep.ipp.pt/~rjc Introduction IEEE1451.0 Std. overview - Modules & Layers - TEDS structure - HTTP API Distributed weblab architecture LabTEDS Operational sequence - Registration & Discovery & Access Thin implementation Conclusions 13/16 Operational Sequence Access (reconfiguration & logging) Reconfiguring Weblab: WriteTIM/ReadTIM for accessing the I&M in the TIM Log file xml schema contents format Logging: Activated in LabTEDS field 13 (WriteLabTeds) ReadLog and WriteLog functions to read/write the Log file.

14 Ricardo Costa - 2011 rjc@isep.ipp.pt - http://www.dee.isep.ipp.pt/~rjc Introduction IEEE1451.0 Std. overview - Modules & Layers - TEDS structure - HTTP API Distributed weblab architecture LabTEDS Operational sequence - Registration & Discovery & Access Thin implementation Conclusions 14/16 Cross-map functions with low-level commands Thin implementation

15 Ricardo Costa - 2011 rjc@isep.ipp.pt - http://www.dee.isep.ipp.pt/~rjc Introduction IEEE1451.0 Std. overview - Modules & Layers - TEDS structure - HTTP API Distributed weblab architecture LabTEDS Operational sequence - Registration & Discovery & Access Thin implementation Conclusions 15/16 Conclusions Currently there is no standard solution for implementing weblab architectures. The IEEE1451.0 Std. may be a solution if its features are extended, namely: Using a new TEDS (LabTEDS) – Provides information about each weblab infrastructure; Defining new HTTP API functions and interfaces – Allows accessing specific weblab features (e.g. access LabTEDS information and logging files, and reconfigure weblab infrastructures); Creating a Thin architecture – for single NCAP-TIM implementations it simplifies developments and avoids overloading NCAP/TIM modules. Therefore, a standard solution based on the proposed architecture, that uses standard APIs and a common architecture, may bring advantages, promoting easier developments & more resources sharing.

16 Ricardo Costa - 2011 rjc@isep.ipp.pt - http://www.dee.isep.ipp.pt/~rjc Introduction IEEE1451.0 Std. overview - Modules & Layers - TEDS structure - HTTP API Distributed weblab architecture LabTEDS Operational sequence - Registration & Discovery & Access Thin implementation Conclusions 16/16 Thanks for your attention ! Ricardo Jorge Guedes da Silva Nunes da Costa Email: rjc@isep.ipp.pt Webpage: http://www.dee.isep.ipp.pt/~rjcrjc@isep.ipp.pthttp://www.dee.isep.ipp.pt/~rjc Acknowledgments:

17 Ricardo Costa - 2011 rjc@isep.ipp.pt - http://www.dee.isep.ipp.pt/~rjc Introduction IEEE1451.0 Std. overview - Modules & Layers - TEDS structure - HTTP API Distributed weblab architecture LabTEDS Operational sequence - Registration & Discovery & Access Thin implementation Conclusions 17/16 - replaced by FPGA-based board(s); - the I&Ms will be developed using HDLs (Hardware Description Languages) following the IEEE1451.0 Std.. Extra slide


Download ppt "Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - Gustavo R. Alves -"

Similar presentations


Ads by Google