Presentation is loading. Please wait.

Presentation is loading. Please wait.

High Performance ALD HfO2-Al2O3 Laminate MIM

Similar presentations


Presentation on theme: "High Performance ALD HfO2-Al2O3 Laminate MIM"— Presentation transcript:

1 High Performance ALD HfO2-Al2O3 Laminate MIM
Capacitors for RF and Mixed Signal IC Applications Hang Hu1, Shi-Jin Ding1, HF Lim1, Chunxiang Zhu1, M.F. Li1, 2, S.J.Kim1, XF Yu1, JH Chen1, YF Yong1, Byung Jin Cho1, D.S.H. Chan1, Subhash C Rustagi2, MB Yu2, CH Tung2, Anyan Du2, Doan My2, PD Foo2, Albert Chin3, Dim-Lee Kwong4 1SNDL, Dept. of ECE, National Univ. of Singapore, Singapore, 2Institute of Microelectronics, Singapore, 3Dept. of Electronics Eng., National Chiao Tung Univ., Taiwan 4Dept. of Electrical & Computer Eng., Univ. of Texas, Austin, TX 78712, USA Silicon Nano Device Laboratory / Dept of ECE

2 Outline of Presentation
Motivation Experiment Results and Discussion RF characterization DC properties Reliability and lifetime High-κ MIM capacitors comparison Conclusions

3 Voltage linearity (ppm/V2) Voltage linearity (ppm/V)
Motivation Mixed signal MIM capacitor requirement Year of Production 2003 2004 2005 2006 2007 2010 2013 2016 Analog capacitor Density (fF/µm2) 3 4 7 10 15 Voltage linearity (ppm/V2) 100 Leakage (fA[pF•V] RF bypass capacitor 8 9 11 12 17 20 23 Voltage linearity (ppm/V) 1000 The International Technology Roadmap for Semiconductors, 2002 Edition

4 Motivation SiO2 and Si3N4 MIM capacitors usually provide low capacitance density of ~1 fF/μm2. High-k dielectrics needs to be used for future MIM application according to ITRS roadmap. HfO2 is a promising high-k material for MIM capacitor. However fast oxygen diffusion. Al2O3 have the advantage of large band gap, low oxygen diffusivity, however only middle k value.

5 TEM photo of 13 nm laminate film
Experiment 4 μm SiO2 deposition on Si substrate for isolation Bottom electrode deposition (Ta/TaN) Transmission line formation Dielectric deposition by atomic layer deposition (ALD) Al2O3 (1nm)/HfO2 (5nm) laminate Al2O3 as electrode contacting layers 13, 31, and 43 nm used in our work TEM photo of 13 nm laminate film

6 Experiment Post deposition anneal (420oC) Contact hole etching
Top metal deposition (TaN/Al) and patterning Final Device structure for characterization MIM structure Dummy device

7 Capacitor modeling in RF regime
I. RF capacitor model Capacitor modeling in RF regime Equivalent circuit diagram for MIM capacitor modeling in RF regime

8 I. S-parameter simulation
13 nm 31 nm 43 nm Measured and simulated S-parameters for laminate MIM capacitors by IC-CAP using SPICE3 simulator.

9 I. High frequency response
High frequency response of laminate MIM capacitors from 50 MHz to 20 GHz

10 I. Cap. versus frequency The frequency dependence of capacitance density of laminate capacitors (k ~19).

11 II. J-V characteristics
Typical J-V characteristics of laminate MIM capacitors

12 II. J-V characteristics
Leakage obtained at different temperatures for 13 nm MIM capacitor (normalized to JRT: leakage measured at room temperature)

13 II. Conduction mechanism
Conduction mechanisms of 13 nm laminate MIM capacitor, showing Pool-Frankel conduction at high field.

14 II. CV characteristics Quadratic (α) and linear (β) VCCs of laminate MIM capacitors with thicknesses of 13, 31 and 43 nm

15 II. CV characteristics Thickness dependence of quadratic VCC (α) for
laminate MIM capacitors. The implication is significant for the scaling of the high-k dielectrics.

16 II. CV characteristics Frequency dependence of quadratic VCC α for
laminate MIM capacitors

17 II. CV characteristics Quadratic VCC  as a function of stress time.
The inset shows time dependence of linear VCC .

18 II. CV characteristics Time dependence on VCCs and leakage, under
stress condition. The recovery of leakage and VCCs may further prolong lifetime under AC condition.

19 II. TCC properties TCC values for laminate MIM capacitors
with three different thicknesses

20 III. Constant voltage stress
Stress time dependence of leakage for a fresh device up to 2000s. The device was re-stressed and re-measured after interrupting stress for 10 hours.

21 III. Breakdown characteristics
Breakdown and leakage characteristics of 13 nm laminate MIM capacitors as a function of stress time.

22 III. Lifetime projection
Life time projection of 13 nm laminate capacitor, using 50% failure time criteria, the extrapolated voltage for 10 years lifetime is 3.3 V.

23 IV. High-κ MIM cap. comparison
Reference [1] [2] [3] [4] [5] This work Dielectric HfO2 (ALD) Ta2O5 AlTaOx (PVD) Ta2O5 (CVD) Tb doped HfO2 (PVD) Hf/Al laminate (ALD) Capacitance density (fF/µm2) 13 9.2 10 9 13.3 12.8 Leakage (A/cm2) VCC 607 ppm/V 853 ppm/V2 2060 ppm/V 3580 ppm/V2 2818 ppm/V2 2050 ppm/V 475 ppm/V2 332 ppm/V 2667 ppm/V2 211 ppm/V 1990 ppm/V2 TCC (ppm/oC) ~200 255 123 198 Laminate capacitor is among one of the best for RF capacitor application. [1]. XF Yu et al. EDL. Vol. 24, 2003. [2]. Tsuyoshi. I et al. IEDM 2002, p.940. [3]. C. H. Huang, et al. MTT-S [4]. Y. L. Tu. et al VLSI symp. 2003, p.79. [5]. S.J. Kim et al. VLSI symp. 2003, p.77.

24 Conclusions High performance HfO2/Al2O3 laminate MIM capacitors
have been demonstrated for the first time. The ALD laminate MIM capacitors exhibit high C density, superior dielectric stability up to 20 GHz, low leakage current, and promising reliability. For 13 nm laminate MIM capacitor C density ~12.8 fF/μm2 up to 20 GHz  ~ 211 ppm/V, Leakage ~ 7.45 nA/cm2 at 2 V Meets all requirements for RF bypass capacitor

25 Acknowledgment This work was supported by Institute of Microelectronics (Singapore) under Grant R and the National University of Singapore under Grant R


Download ppt "High Performance ALD HfO2-Al2O3 Laminate MIM"

Similar presentations


Ads by Google