Presentation is loading. Please wait.

Presentation is loading. Please wait.

Constrained ‘Modern’ Floorplanning

Similar presentations


Presentation on theme: "Constrained ‘Modern’ Floorplanning"— Presentation transcript:

1 Constrained ‘Modern’ Floorplanning
Yan Feng Dinesh P. Mehta Colorado School of Mines Hannah Yang Intel

2 Motivation/Assumption
Fixed die formulation with zero whitespace . (A. B. Kahng, ISPD 2000) Modules shapes need not be restricted to rectangles, L-shapes, etc. (A. B. Kahng, ISPD 2000) Approximate locations and sizes for modules are already known from quadratic placement, force-directed placement, or human design. 1)The boundary is fixed 2) In other words ,the shape could be rectilinear polygon 3) Either from previous step or from the architect experience, the location and size of each module are already known

3 Proposed Design Flow Input No Make suggestions Bound-Feasible
to make input feasible No Bound-Feasible Yes Min Cost Max Flow Based Floorplanner 1) Animation to show this paper’s work Postprocessing Step No Connected? Yes

4 The Constrained Modern Floorplanning Problem(CMFP)
Module Center W H Required Area A (30,30) 60 2500 B (75,50) 50 100 4000 C (50,75) 100 50 3500 (0,0) (100,100) A B C (0,0) (100,100) A B C The CMFP problem is NP-hard.

5 Feasibility Analysis Area(BC) = 8000 A B Required(BC) = 8500 C
Module Center W H Required Area A (30,30) 60 2500 B (75,50) 50 100 4000 C (50,75) 4500

6 Feasibility Analysis A B C D E Center Area W H A (50,50) 8000 100 B
(0,0) A B C D E Center Area W H A (50,50) 8000 100 B (135,55) 12000 130 110 C (235,45) 10000 90 D (75,145) 150 E (220,125) 18000 160 (300,200)

7 Region Identification
3 4 11 10 5 12 15 C A 2 7 B 1 6 9 14 E 13 D

8 Flow-based Feasibility Analysis
If the maximum network flow of graph is equal to the total required area of modules then the input is feasible.

9 Experiment result (ami 33)
How do you get the input of ami33?

10 Floorplanning The result of Max Flow algorithm does not guarantee connectivity. Min Cost Max Flow Problem: each edge also has a cost a(u,v). So if f(u,v) units flow over edge (u,v), we incur a cost of a(u,v)f(u,v). Computes a maximum flow as before, but finds one of min cost. Post Processing Step greedy algorithm B A A AB B Graph connectivity Geometry connectivity

11 Cost Assignment Schemes
The cost is assigned based on BFS on each region of module. Compromise BFS: involves adding vertices & edges to the flow graph. Details in paper. Improved BFS: combination of BFS & CBFS. 2 1 1 1 2 1 2

12 Comparison of Cost Schemes
The initial input (center position) is obtained from previous SA result (ami33 & ami49). Size of constraining rectangle ranges from 1.96 to 3.24 times of modules’ required area. Using C++ & LEDA and the running time is about 5 secs.

13 Sample output: ami49

14 Future Work A more systematic post processing step to obtain a practical result. How to convert a infeasible input into a feasible one.


Download ppt "Constrained ‘Modern’ Floorplanning"

Similar presentations


Ads by Google