BY KRISHNAN.P 2009507017. Chemical Vapour Deposition (CVD) is a chemical process used to produce high purity, high performance solid materials. In a typical.

Slides:



Advertisements
Similar presentations
MICROELECTROMECHANICAL SYSTEMS ( MEMS )
Advertisements

Display Systems and photosensors (Part 2)
FABRICATION PROCESSES
Machine Tools And Devices For Special Technologies Plasma machining Slovak University of Technology Faculty of Material Science and Technology in Trnava.
11. Optical Fiber Technology Optical fiber is used worldwide for transmission of voice, data, and content because of its ability to transmit at speeds.
Etch Process Trends. Etch process trends Most trends are not consistent. They depend on the specific values of input parameters. At point A, pressure.
Section 3: Etching Jaeger Chapter 2 Reader.
ECE/ChE 4752: Microelectronics Processing Laboratory
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #6.
1 Microelectronics Processing Course - J. Salzman - Jan Microelectronics Processing Oxidation.
Hot Surface Igniters. PRESENTED BY: Joe Barker Brent Blume Sam Alauddin.
Thin film deposition techniques II. Chemical Vapor deposition (CVD)
Microelectronics Processing
Chemical Vapor Deposition ( CVD). Chemical vapour deposition (CVD) synthesis is achieved by putting a carbon source in the gas phase and using an energy.
The Deposition Process

Physical Vapor Deposition
ECE/ChE 4752: Microelectronics Processing Laboratory
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #5.
Thin Film Deposition Prof. Dr. Ir. Djoko Hartanto MSc
A. Transport of Reactions to Wafer Surface in APCVD
MEMs Fabrication Alek Mintz 22 April 2015 Abstract
S. Kugler: Lectures on Amorhous Semiconductors 1 Preparation.
By Stephen Huse. Outline Abrasion and adhesion description Variables that change the wear rate Variables changed by coatings Surface coating processes.
PEALD/CVD for Superconducting RF cavities
Chemical Vapor Deposition This presentation is partially animated. Only use the control panel at the bottom of screen to review what you have seen. When.
Top Down Method Etch Processes
McGill Nanotools Microfabrication Processes
LASER BEAM MACHINING BY S.PREMKUMAR.
반도체 제작 공정 재료공정실험실 동아대학교 신소재공학과 손 광 석 隨處作主立處開眞
Plasma-Enhanced Chemical Vapor Deposition (PECVD)
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #2. Chip Fabrication  Silicon Ingots  Wafers  Chip Fabrication Steps (FEOL, BEOL)  Processing Categories 
MOCVD Basics & Applications
Optimization of Plasma Barrel Etching for Microprocessors By Acacia Caraballo and Paul Filichkin.
Methods in Surface Physics Experimentation in Ultra-High Vacuum Environments Hasan Khan (University of Rochester), Dr. Meng-Fan Luo (National Central University)
PVD AND CVD PROCESS Muhammed Labeeb.
Nano/Micro Electro-Mechanical Systems (N/MEMS) Osama O. Awadelkarim Jefferson Science Fellow and Science Advisor U. S. Department of State & Professor.
Crystal Growth Techniques
Physical and Chemical Changes Pure Substances Mixtures States of Matter.
Gas-to Solid Processing surface Heat Treating Carburizing is a surface heat treating process in which the carbon content of the surface of.
SEMINAR ON IC FABRICATION MD.ASLAM ADM NO:05-125,ETC/2008.
Techniques for Synthesis of Nano-materials
Reminders Quiz#2 and meet Alissa and Mine on Wednesday –Quiz covers Bonding, 0-D, 1-D, 2-D, Lab #2 –Multiple choice, short answer, long answer (graphical.
Top Down Manufacturing
Top Down Method Etch Processes
SURFACES BARRIORS & CLEANING
By: Kyle Logan MEEN  Crystals have special desired optical and electrical properties  Growing single crystals to produce gem quality stones 
CORPORATE INSTITUTE OF SCIENCE & TECHNOLOGY, BHOPAL DEPARTMENT OF ELECTRONICS & COMMUNICATIONS NMOS FABRICATION PROCESS - PROF. RAKESH K. JHA.
Etching: Wet and Dry Physical or Chemical.
Nanotechnology Ninad Mehendale.
Thin Film Deposition. Types of Thin Films Used in Semiconductor Processing Thermal Oxides Dielectric Layers Epitaxial Layers Polycrystalline Silicon Metal.
THREE ATOMS THICK SEMICONDUCTING FILM How It’s Made “Using a technique called metal organic chemical vapor deposition. Already used widely in industry,
Presented by: -Priyasi Singh -Pooja Singh
CHEMICAL VAPOUR DEPOSITION & PHYSICAL VAPOUR DEPOSITION
Mar 24 th, 2016 Inorganic Material Chemistry. Gas phase physical deposition 1.Sputtering deposition 2.Evaporation 3.Plasma deposition.
Thin Film Deposition. 고려대학교 MNB Sensor Lab 61 고려대학교 MNB Sensor Lab 62  Thin Films Used in the Fabrication of IC and MEMS Devices A large variety of.
Thermal Spray Coatings Asst.Prof.Dr. Ali Sabea Hammood Materials Engineering Department Materials Engineering Department Faculty of Engineering Faculty.

Mukhtar Hussain Department of Physics & Astronomy King Saud University, Riyadh
ALD coating of porous materials and powders
Deposition Techniques
Lecture 4 Fundamentals of Multiscale Fabrication
PVD & CVD Process Mr. Sonaji V. Gayakwad Asst. professor
Chemical Vapour Deposition (CVD)
1.6 Magnetron Sputtering Perpendicular Electric Magnetic Fields.
Thermal oxidation Growth Rate
Surface Engineering By Israa Faisal University of Al-Qadisiyah
Metal Organic Chemical Vapour Deposition
Surface Engineering By Israa Faisal University of Al-Qadisiyah
Epitaxial Deposition
Presentation transcript:

BY KRISHNAN.P

Chemical Vapour Deposition (CVD) is a chemical process used to produce high purity, high performance solid materials. In a typical CVD process, the substrate is exposed to one or more volatile precursors which react and decompose on the substrate surface to produce the desired deposit. During this process, volatile by-products are also produced, which are removed by gas flow through the reaction chamber.

Transport of reactants by forced convection to the deposition region Transport of reactants by diffusion from the main gas stream to the substrate surface. Adsorption of reactants in the wafer (substrate) surface. Chemical decomposition and other surface reactions take place. Desorption of by- products from the surface Transport of by-products by diffusion Transport of by-products by forced convection away from the deposition region.

CVD’s are classified into two types on the basis of Operating Pressure. 1. Atmospheric Pressure CVD 2. Low Pressure CVD Plasma Enhanced CVD Photochemical Vapour Deposition Thermal CVD

CASE 1 : HIGH TEMPERATURE This process is used to deposit Silicon and compound films or hard metallurgical coatings like Titanium Carbide and Titanium Nitride. CASE 2 : LOW TEMPERATURE Many insulating film layers such as Silicon dioxide need to be deposited at low temperatures for effective deposition.

Aluminium oxide films are deposited by this method from aluminium trichloride, argon and oxygen gas mixtures at temperatures ranging from degree Celsius The films have low chlorine content, which continue to decrease with increasing temperature. Analysis of the film growth rate on the substrates revealed that, the growth takes place only by diffusion from 800 to 950 degree Celsius and only by gas phase reaction at 1000 degree Celsius.

Film thickness uniformity cannot be maintained. Large number of pinhole defects can occur. Wafer (Substrate) throughput is low due to low deposition rate. The deposits get contaminated very easily since it takes place at atmospheric pressure. Maintaining stochiometry is extremely difficult.

The deposition of Silicon carbide thin film is performed using low pressure CVD of Dichlorosilane / Acetylene / Hydrogen reaction system. The Silicon carbide film deposited at three different temperatures has three different properties KAMORPHOUS 1073 KMICROCRYSTALLINE 1173 K PREFERENTIALLY ORIENTED

This technique permits either horizontal or vertical loading of the wafers into the furnace and accommodates a large number of wafers for processing. The process results in the deposition of compounds with excellent purity and uniformity. However the technique requires higher temperatures and the deposition rate is low.

Plasma-enhanced chemical vapor deposition (PECVD) is a process used to deposit thin films from a gas state (vapor) to a solid state on a substrate. Chemical reactions are involved in the process, which occur after creation of a plasma of the reacting gases. The plasma is generally created by RF (AC) frequency or DC discharge between two electrodes, the space between which is filled with the reacting gases. The helping hand of the Plasma helps in increasing the film quality at low temperature and pressure.

PECVD uses electrical energy which is transferred to the gas mixture. This transforms the gas mixture into reactive radicals, ions, neutral atoms and molecules, and other highly excited species. These atomic and molecular fragments interact with a substrate and, depending on the nature of these interactions, either etching or deposition processes occur at the substrate. Some of the desirable properties of PECVD films are good adhesion, low pinhole density and uniformity.

REINBERG TYPE REACTOR (DIRECT):  Reactants, by-products, substrates and plasma are in the same space.  Capacitive-coupled Radio Frequency plasma.  Rotating substrates are present. DOWNSTREAM REACTOR (INDIRECT):  Plasma is generated in a separate chamber and is pumped into the deposition chamber.  Allows better control of purity and film quality when compared to the Direct type.

Al thin films are deposited via photochemical vapour deposition on catalytic layers of Ti, TiO 2, and Pd, using dimethyl aluminum hydride. Deposition is carried out at low gas pressures to induce a surface reaction based on adsorption and subsequent decomposition of adsorbates. Of these three layers Ti is so effective as a catalyst that the Al films are thermally deposited even at a low substrate temperature of 60°C with a growth rate of 0.5 nm/min.

The UV light generated by a deuterium lamp helped increase the growth rates. On the other hand, Al could be deposited on TiO 2 layers only under irradiation at a substrate temperature of 120°C It takes several minutes to cover the TiO 2 surface with Al and initiate the Al film's growth. Here, the UV light inhibited the Al growth on the surface, whereas the films are deposited thermally. X-ray photoelectron spectroscopy showed the formation of a photolytic production of the adsorbate, which acts presumably as a center that inhibits further Al growth.

In thermal CVD process, temperatures as high as 2000 degree Celsius is needed to deposit the compounds. There are two basic types of reactors for thermal CVD. 1. Hot wall reactor 2. Cold wall reactor A hot wall reactor is an isothermal surface into which the substrates are placed. Since the whole chamber is heated, precise temperature control can be achieved by designing the furnace accordingly.

A disadvantage of the hot wall configuration is that deposition occurs on the walls of the chamber as well as on the substrate. As a consequence, hot wall reactors must be frequently cleaned in order to reduce contamination of substrates. In a cold wall reactor, only the substrate is heated. The deposition takes place on the area of the highest temperature, since CVD reactions are generally endothermic.

The deposition is only on the substrate in cold wall reactors, and therefore contamination of particles is reduced considerably. However, hot wall reactors have higher throughput since the designs can easily accommodate multiple wafer (substrate) configurations.

Variable shaped surfaces, given reasonable access to the coating powders or gases, such as screw threads, blind holes or channels or recesses, can be coated evenly without build-up on edges. Versatile –any element or compound can be deposited. High Purity can be obtained. High Density – nearly 100% of theoretical value. Material Formation well below the melting point Economical in production, since many parts can be coated at the same time.

CVD has applications across a wide range of industries such as: Coatings – Coatings for a variety of applications such as wear resistance, corrosion resistance, high temperature protection, erosion protection and combinations thereof. Semiconductors and related devices – Integrated circuits, sensors and optoelectronic devices Dense structural parts – CVD can be used to produce components that are difficult or uneconomical to produce using conventional fabrication techniques. Dense parts produced via CVD are generally thin walled and maybe deposited onto a mandrel or former.

Optical Fibres – For telecommunications. Composites – Preforms can be infiltrated using CVD techniques to produce ceramic matrix composites such as carbon-carbon, carbon-silicon carbide and silicon carbide-silicon carbide composites. This process is sometimes called chemical vapour infiltration or CVI. Powder production – Production of novel powders and fibres Catalysts Nanomachines