The Journal of Instruction Level Parallelism Championship Branch Prediction website: Dan Connors, Univ. of Colorado Tom Conte,

Slides:



Advertisements
Similar presentations
Runahead Execution: An Alternative to Very Large Instruction Windows for Out-of-order Processors Onur Mutlu, The University of Texas at Austin Jared Start,
Advertisements

Dead Block Replacement and Bypass with a Sampling Predictor Daniel A. Jiménez Department of Computer Science The University of Texas at San Antonio.
A 2bcgskew Fused by a RHSP Veerle Desmet Hans Vandierendonck Koen De Bosschere Ghent University Member HiPEAC.
Idealized Piecewise Linear Branch Prediction Daniel A. Jiménez Department of Computer Science Rutgers University and Departament d'Arquitectura de Computadors.
André Seznec Caps Team IRISA/INRIA 1 Looking for limits in branch prediction with the GTL predictor André Seznec IRISA/INRIA/HIPEAC.
H-Pattern: A Hybrid Pattern Based Dynamic Branch Predictor with Performance Based Adaptation Samir Otiv Second Year Undergraduate Kaushik Garikipati Second.
Branch prediction Titov Alexander MDSP November, 2009.
Revisiting Local History to Improve the Fused Two-Level Branch Predictor Yasuo Ishii (The University of Tokyo, NEC) Keisuke Kuroyanagi (The University.
Dynamic History-Length Fitting: A third level of adaptivity for branch prediction Toni Juan Sanji Sanjeevan Juan J. Navarro Department of Computer Architecture.
Hardware-based Devirtualization (VPC Prediction) Hyesoon Kim, Jose A. Joao, Onur Mutlu ++, Chang Joo Lee, Yale N. Patt, Robert Cohn* ++ *
Wish Branches Combining Conditional Branching and Predication for Adaptive Predicated Execution The University of Texas at Austin *Oregon Microarchitecture.
Exploring Correlation for Indirect Branch Prediction 1 Nikunj Bhansali, Chintan Panirwala, Huiyang Zhou Department of Electrical and Computer Engineering.
Computer Science Department University of Central Florida Adaptive Information Processing: An Effective Way to Improve Perceptron Predictors Hongliang.
André Seznec Caps Team IRISA/INRIA 1 The O-GEHL branch predictor Optimized GEometric History Length André Seznec IRISA/INRIA/HIPEAC.
Exploiting Spatial Locality in Data Caches using Spatial Footprints Sanjeev Kumar, Princeton University Christopher Wilkerson, MRL, Intel.
A PPM-like, tag-based predictor Pierre Michaud. 2 Main characteristics global history based 5 tables –one 4k-entry bimodal (indexed with PC) –four 1k-entry.
Clustered Indexing for Conditional Branch Predictors Veerle Desmet Ghent University Belgium.
A Simple Divide-and-Conquer Approach for Neural-Class Branch Prediction Gabriel H. Loh College of Computing Georgia Tech.
Neural Methods for Dynamic Branch Prediction Daniel A. Jiménez Calvin Lin Dept. of Computer Science Rutgers University Univ. of Texas Austin Presented.
WCED: June 7, 2003 Matt Ramsay, Chris Feucht, & Mikko Lipasti University of Wisconsin-MadisonSlide 1 of 26 Exploring Efficient SMT Branch Predictor Design.
EE8365/CS8203 ADVANCED COMPUTER ARCHITECTURE A Survey on BRANCH PREDICTION METHODOLOGY By, Baris Mustafa Kazar Resit Sendag.
Better Branch Prediction Through Prophet/Critic Hybrids A. Falcón, J. Stark, A. Ramirez, K. Lai, M. Valero Paper Presentation and Discussion.
Improving Branch Prediction by Dynamic Dataflow-based Identification of Correlated Branches from a Large Global History Renjiu Thomas, Manoij Franklin,
Computer Architecture Instruction Level Parallelism Dr. Esam Al-Qaralleh.
Prophet/Critic Hybrid Branch Prediction Falcon, Stark, Ramirez, Lai, Valero Presenter: Christian Wanamaker.
Perceptrons Branch Prediction and its’ recent developments
Neural Methods for Dynamic Branch Prediction Daniel A. Jiménez Department of Computer Science Rutgers University.
Optimized Hybrid Scaled Neural Analog Predictor Daniel A. Jiménez Department of Computer Science The University of Texas at San Antonio.
Improving the Performance of Object-Oriented Languages with Dynamic Predication of Indirect Jumps José A. Joao *‡ Onur Mutlu ‡* Hyesoon Kim § Rishi Agarwal.
1 Storage Free Confidence Estimator for the TAGE predictor André Seznec IRISA/INRIA.
Evaluation of the Gini-index for Studying Branch Prediction Features Veerle Desmet Lieven Eeckhout Koen De Bosschere.
4th JILP Workshop on Computer Architecture Competitions Championship Branch Prediction (CBP-4) -Moinuddin Qureshi (GT)
Analysis of Branch Predictors
André Seznec Caps Team IRISA/INRIA 1 Analysis of the O-GEHL branch predictor Optimized GEometric History Length André Seznec IRISA/INRIA/HIPEAC.
Code Size Efficiency in Global Scheduling for ILP Processors TINKER Research Group Department of Electrical & Computer Engineering North Carolina State.
1 Revisiting the perceptron predictor André Seznec IRISA/ INRIA.
Not- Taken? Taken? The Frankenpredictor Gabriel H. Loh Georgia Tech College of Computing MICRO Dec 5, 2004.
Predicting Conditional Branches With Fusion-Based Hybrid Predictors Gabriel H. Loh Yale University Dept. of Computer Science Dana S. Henry Yale University.
Trace Substitution Hans Vandierendonck, Hans Logie, Koen De Bosschere Ghent University EuroPar 2003, Klagenfurt.
Branches Daniel Ángel Jiménez Departments of Computer Science UT San Antonio & Rutgers.
André Seznec Caps Team IRISA/INRIA 1 A 256 Kbits L-TAGE branch predictor André Seznec IRISA/INRIA/HIPEAC.
Workload Design: Selecting Representative Program-Input Pairs Lieven Eeckhout Hans Vandierendonck Koen De Bosschere Ghent University, Belgium PACT 2002,
Idealized Piecewise Linear Branch Prediction Daniel A. Jiménez Department of Computer Science Rutgers University.
Prophet/Critic Hybrid Branch Prediction B B B
Branch Prediction Perspectives Using Machine Learning Veerle Desmet Ghent University.
4th JILP Workshop on Computer Architecture Competitions Championship Branch Prediction (CBP-4) -Moinuddin Qureshi (GT)
André Seznec Caps Team IRISA/INRIA 1 Analysis of the O-GEHL branch predictor Optimized GEometric History Length André Seznec IRISA/INRIA/HIPEAC.
JILP RESULTS 1. JILP Experimental Framework Goal Simplicity of a trace based simulator Flexibility to model special predictors ( e.g., using data values)
Fast Path-Based Neural Branch Prediction Daniel A. Jimenez Presented by: Ioana Burcea.
Samira Khan University of Virginia April 12, 2016
Welcome to the 2016 Championship Branch Prediction Contest Program Trevor Mudge Seoul, South Korea June 18 th, 2016.
Pentium 4 Deeply pipelined processor supporting multiple issue with speculation and multi-threading 2004 version: 31 clock cycles from fetch to retire,
Instruction-Level Parallelism and Its Dynamic Exploitation
Multilayer Perceptron based Branch Predictor
The 2nd Cache Replacement Championship (CRC-2)
Logistic Regression and Perceptron Prediction of Instruction Branches
Samira Khan University of Virginia Dec 4, 2017
Exploring Value Prediction with the EVES predictor
Looking for limits in branch prediction with the GTL predictor
Perceptrons for Dummies
Module 3: Branch Prediction
Welcome to the 1st Championship Value Prediction (CVP) Workshop
Sponsored by JILP and Intel’s Academic Research Office
Scaled Neural Indirect Predictor
Hyesoon Kim Onur Mutlu Jared Stark* Yale N. Patt
TAGE-SC-L Again MTAGE-SC
5th JILP Workshop on Computer Architecture Competitions
Aliasing and Anti-Aliasing in Branch History Table Prediction
The O-GEHL branch predictor
Chris Wilkerson, MRL/MTL Intel
Presentation transcript:

The Journal of Instruction Level Parallelism Championship Branch Prediction website: Dan Connors, Univ. of Colorado Tom Conte, North Carolina State Univ. Phil Emma, IBM Research Konrad Lai, MRL/MTL Intel Scott McFarling, Microsoft Chuck Moore, AMD Yale N. Patt, Univ. of Texas at Austin Jim Smith, Univ. of Wisconsin Jared Stark, MRL/MTL Intel Mateo Valero, Univ. Politecnica Catalunya Chris Wilkerson, MRL/MTL Intel

Introduction: Competition Overview and Workshop Agenda Jared Stark, MRL/MTL Intel

Overview Objective: Compare branch predictors in a common framework. Framework –Traces 30M inst long, includes user + system activity 2 trace sets: distributed + undistributed 20 traces / set: 5 INT, 5 FP, 5 MEDIA, 5 SERVER –Driver Static Info: PC, type (BR, ALU,...), reg src/dst specifiers Dynamic Info: results, LD/ST addresses, branch outcomes

Overview (cont.) Budget: (64K + 256) bits; no attempt to assess other costs (power, latency, design effort,...) Performance: TK/NT accuracy only; accuracy in mispredicts per 1000 inst Competition has 2 rounds: –Initial: 6 predictors w/ lowest avg mispredict rate on distributed trace set selected as finalists –Final: Finalists ranked and champion declared using the undistributed trace set

Agenda 1:00 Introduction: Competition Overview and Workshop Agenda, Jared Stark, MRL/MTL Intel 1:10 Perceptrons for Dummies, Daniel A. Jiménez, Rutgers University 1:30 Idealized Piecewise Linear Branch Prediction, Daniel A. Jiménez, Rutgers University 1:50 A PPM-like, Tag-based Predictor, Pierre Michaud, IRISA/INRIA 2:10 Adaptive Information Processing: An Effective Way to Improve Perceptron Predictors Hongliang Gao and Huiyang Zhou, University of Central Florida 2:30 Break 3:00 A 2bcgskew Predictor Fused by a Redundant History Skewed Perceptron Predictor Veerle Desmet, Hans Vandierendonck, and Koen De Bosschere, Ghent University 3:20 The O-GEHL Branch Predictor, André Seznec, IRISA/INRIA 3:40 The Frankenpredictor, Gabriel Loh, Georgia Institute of Technology 4:00 Branch Prediction Caveats and Second-Order Effects, Phil Emma, IBM Research 4:20 Conclusion: Ranking of the Finalists, Anointing of the Champion, and “What Next?” Chris Wilkerson, MRL/MTL Intel 4:30 Adjourn