Copyright © 1997 Altera Corporation 11/20/2015 P.1 Beginner VHDL Training Class Danny Mok Altera HK FAE

Slides:



Advertisements
Similar presentations
28/10/2007DSD,USIT,GGSIPU1 Latch & Register Inference.
Advertisements

Lecture 6 Chap 8: Sequential VHDL Instructors: Fu-Chiung Cheng ( 鄭福炯 ) Associate Professor Computer Science & Engineering Tatung University.
1 Lecture 13 VHDL 3/16/09. 2 VHDL VHDL is a hardware description language. The behavior of a digital system can be described (specified) by writing a.
1 VLSI DESIGN USING VHDL Part II A workshop by Dr. Junaid Ahmed Zubairi.
Introduction to VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T1: Combinational Logic Circuits.
FPGAs and VHDL Lecture L12.1. FPGAs and VHDL Field Programmable Gate Arrays (FPGAs) VHDL –2 x 1 MUX –4 x 1 MUX –An Adder –Binary-to-BCD Converter –A Register.
Week 6.1Spring :332:331 Computer Architecture and Assembly Language Spring 2006 Week 6 VHDL Programming [Adapted from Dave Patterson’s UCB CS152.
Introduction to VHDL CSCE 496/896: Embedded Systems Witawas Srisa-an.
Kazi Fall 2006 EEGN 4941 EEGN-494 HDL Design Principles for VLSI/FPGAs Khurram Kazi Some of the slides were taken from K Gaj’s lecture slides from GMU’s.
HDL-Based Digital Design Part I: Introduction to VHDL (I) Dr. Yingtao Jiang Department Electrical and Computer Engineering University of Nevada Las Vegas.
VHDL And Synthesis Review. VHDL In Detail Things that we will look at: –Port and Types –Arithmetic Operators –Design styles for Synthesis.
Introduction to VHDL (part 2)
1 Part I: VHDL CODING. 2 Design StructureData TypesOperators and AttributesConcurrent DesignSequential DesignSignals and VariablesState Machines A VHDL.
System Arch 2008 (Fire Tom Wada) /10/9 Field Programmable Gate Array.
Copyright © 1997 Altera Corporation 9/12/97 Asynchronous vs Synchronous Circuit Design Danny Mok Altera HK FAE
VHDL Introduction. V- VHSIC Very High Speed Integrated Circuit H- Hardware D- Description L- Language.
VHDL IE- CSE. What do you understand by VHDL??  VHDL stands for VHSIC (Very High Speed Integrated Circuits) Hardware Description Language.
VHDL in 1h Martin Schöberl. AK: JVMHWVHDL2 VHDL /= C, Java,… Think in hardware All constructs run concurrent Different from software programming Forget.
Language Concepts Ver 1.1, Copyright 1997 TS, Inc. VHDL L a n g u a g e C o n c e p t s Page 1.
Copyright © 1997 Altera Corporation & 提供 What is VHDL Very high speed integrated Hardware Description Language (VHDL) –is.
ENG241 Digital Design Week #8 Registers and Counters.
Basic Overview of VHDL Matthew Murach Slides Available at:
Copyright © 1997 Altera Corporation 6/5/2016 P.1 AHDL Training Class Danny Mok Altera HK FAE
Introduction to VHDL Spring EENG 2920 Digital Systems Design Introduction VHDL – VHSIC (Very high speed integrated circuit) Hardware Description.
Electrical and Computer Engineering University of Cyprus LAB 1: VHDL.
Copyright © 1997 Altera Corporation & 提供 Beginner VHDL Training Class Danny Mok Altera HK FAE
(1) Basic Language Concepts © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
Lecture 7 Chap 9: Registers Instructors: Fu-Chiung Cheng ( 鄭福炯 ) Associate Professor Computer Science & Engineering Tatung University.
Chapter 5 Introduction to VHDL. 2 Hardware Description Language A computer language used to design circuits with text-based descriptions of the circuits.
George Mason University Data Flow Modeling in VHDL ECE 545 Lecture 7.
VHDL Discussion Sequential Sytems. Memory Elements. Registers. Counters IAY 0600 Digital Systems Design Alexander Sudnitson Tallinn University of Technology.
1 Part III: VHDL CODING. 2 Design StructureData TypesOperators and AttributesConcurrent DesignSequential DesignSignals and VariablesState Machines A VHDL.
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
5-1 Logic System Design I VHDL Design Principles ECGR2181 Reading: Chapter 5.0, 5.1, 5.3 port ( I: in STD_LOGIC_VECTOR (1 to 9); EVEN, ODD: out STD_LOGIC.
EE121 John Wakerly Lecture #17
CEC 220 Digital Circuit Design VHDL in Sequential Logic Wednesday, March 25 CEC 220 Digital Circuit Design Slide 1 of 13.
Data Flow Modeling in VHDL
VHDL Programming Fundamentals Presented By Dr. Pradyut Kumar Biswal Department of Electronics, IIIT Bhubaneswar.
1 ASIC 120: Digital Systems and Standard-Cell ASIC Design Tutorial 2: Introduction to VHDL February 1, 2006.
VHDL 7: use of signals v.5a1 VHDL 7 Use of signals In processes and concurrent statements.
George Mason University Behavioral Modeling of Sequential-Circuit Building Blocks ECE 545 Lecture 8.
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
Fundamentals of Digital Signal Processing יהודה אפק, נתן אינטרטור אוניברסיטת תל אביב.
1 Introduction to Engineering Spring 2007 Lecture 19: Digital Tools 3.
Combinational logic circuit
LAB #6 Sequential Logic Design (Flip Flops, Shift Registers)
Basic Language Concepts
Registers and Counters
B e h a v i o r a l to R T L Coding
Introduction to Programmable Logic
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
Part IV: VHDL CODING.
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
VHDL 5 FINITE STATE MACHINES (FSM)
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
ECE 434 Advanced Digital System L08
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
RTL Style در RTL مدار ترتيبي به دو بخش (تركيبي و عناصر حافظه) تقسيم مي شود. مي توان براي هر بخش يك پروسس نوشت يا براي هر دو فقط يك پروسس نوشت. مرتضي صاحب.
Data Flow Modeling of Combinational Logic
VHDL (VHSIC Hardware Description Language)
Behavioral Modeling of Sequential-Circuit Building Blocks
Founded in Silicon Valley in 1984
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
Sequntial-Circuit Building Blocks
(Sequential-Circuit Building Blocks)
EEL4712 Digital Design (Midterm 1 Review).
Presentation transcript:

Copyright © 1997 Altera Corporation 11/20/2015 P.1 Beginner VHDL Training Class Danny Mok Altera HK FAE

Copyright © 1997 Altera Corporation 11/20/2015 P.2 What is VHDL Very high speed integrated Hardware Description Language (VHDL) –is an industry standard hardware description language –description the hardware in language instead of graphic easy to modify easy to maintain –very good for complex combinational logic –BCD to 7 Segment converter –address decoding state machine more than you want……..

Copyright © 1997 Altera Corporation 11/20/2015 P.3 What VHDL Standard means?? The VHDL is used to describe –Inputs port –Outputs port –behavior and functions of the circuits The language is defined by two successive standards –IEEE Std (called VHDL 1987) –IEEE Std (called VHDL 1993) Inputs port Output port functions

Copyright © 1997 Altera Corporation 11/20/2015 P.4 Altera VHDL Altera Max+Plus II support both VHDL 1987 and 1993 SUBSET Max+Plus II only support SUBSET of the two IEEE standard Detail of the support can be referred to Altera Max+Plus II VHDL handbook on page 89 Section 3

Copyright © 1997 Altera Corporation 11/20/2015 P.5 How to use the VHDL use any text editor to create the file –Altera Software Max+Plus II provides text editor click at this icon

Copyright © 1997 Altera Corporation 11/20/2015 P.6 create your VHDL file

Copyright © 1997 Altera Corporation 11/20/2015 P.7 save your VHDL file as name.VHD The name must be the same

Copyright © 1997 Altera Corporation 11/20/2015 P.8 Select the Standard Version of VHDL coding –1987 or 1993 Select which version you want

Copyright © 1997 Altera Corporation 11/20/2015 P.9 Compile your VHDL file DONE !

Copyright © 1997 Altera Corporation 11/20/2015 P.10 Bonus Topic may help for VHDL Design within Max+Plus II

Copyright © 1997 Altera Corporation 11/20/2015 P.11 Turn on some Max+Plus II Option There are some built-in Option to assist the engineer during the VHDL design stage –Syntax Color Option from the Option menu Turn on this option Reserve word in Blue

Copyright © 1997 Altera Corporation 11/20/2015 P.12 User can modify the Color Option use the Color Palette under Option Menu to customize the color of –comments, illegal characters, megafunctions, macrofuncitons…. Select Element Select Color

Copyright © 1997 Altera Corporation 11/20/2015 P.13 Error Location during Compilation Easy to locate the error Click on the error message Locate the error Error location

Copyright © 1997 Altera Corporation 11/20/2015 P.14 VHDL Template I forgot ……. If-then-else case-end case loop-end loop ??…??? Modify the code as user want

Copyright © 1997 Altera Corporation 11/20/2015 P.15 General VHDL Format ENTITY test IS PORT ( input_pin_name : IN bit; output_pin_name : OUT bit); END test; ARCHITECTURE test_body OF test IS BEGIN output_pin_name <= input_pin_name; END test_body; Key WordVHDL Format Logic This two must be the same I/O port define section Logic Behaviour define section Must be the same as the save TEST.VHD file

Copyright © 1997 Altera Corporation 11/20/2015 P.16 Your First VHDL design -- 2 input AND gate Entity simand is Port ( a, b : in bit; c : out bit); end simand; architecture simand_body of simand is begin c <= a and b; end simand_body;

Copyright © 1997 Altera Corporation 11/20/2015 P.17 More detail

Copyright © 1997 Altera Corporation 11/20/2015 P.18 Why I use VHDL instead of Graphic Easy to Modify It is more powerful than Graphic VHDL is a portable language because –is device independent –the same code can be applied to Device manufactured by Company A or Company B

Copyright © 1997 Altera Corporation 11/20/2015 P.19 Graphic vs VHDL –Graphic is what you draw is what you get “ tell me what hardware you want and I will give it to you” –VHDL is what you write is what functional you get “ tell me how your circuit should behave and the VHDL compiler will give you the hardware that does the job” but the designer can not control how the circuit implement

Copyright © 1997 Altera Corporation 11/20/2015 P.20 Learning VHDL must learn What is Combinatorial Logic What is Sequential Logic What is Concurrent Statement What is Process Statement

Copyright © 1997 Altera Corporation 11/20/2015 P.21 Combinatorial Logic Combinatorial Logic if –Outputs at a specified time are a function only of the inputs at that time e.g. decoders, multiplexers and adders Output change instantly when input change

Copyright © 1997 Altera Corporation 11/20/2015 P.22 Sequential Logic Sequential Logic if –Outputs at a specified time are a function of the inputs at that time and at all preceding times –All sequential circuits must include one or more registers e.g. State Machine, Counters, Shift Register and Controllers Outputs depends on inputs and previous output Register is used to hold the previous value

Copyright © 1997 Altera Corporation 11/20/2015 P.23 Now everyone should know what is –Combinational Logic –Sequential Logic Q : Then how about Concurrent or Process Statement ? What is it ?

Copyright © 1997 Altera Corporation 11/20/2015 P.24 Concurrent Statement All the Concurrent Statement is executed in parallel Concurrent Statement does not care the position within the coding Concurrent Statement is : OUTPUT depends on INPUT only Entity test1 Is Port ( a, b : in bit; c, d : out bit); end test1; architecture test1_body of test1 is begin c <= a and b; d <= a or b; end test1_body; Entity test1 Is Port ( a, b : in bit; c, d : out bit); end test1; architecture test1_body of test1 is begin d <= a or b; c <= a and b; end test1_body; This two excute in parallel Does not care the position within the coding Output depends on Input only without any conditional constraint

Copyright © 1997 Altera Corporation 11/20/2015 P.25 THE SAME C = A and B D = A OR B c <= a and b; d <= a or b; c <= a and b;

Copyright © 1997 Altera Corporation 11/20/2015 P.26 Process Statement All the Process Statement is executed in parallel Within the Process Statement, the coding is execute in sequential Process Statement is : OUTPUT depends on INPUT with Sensitivity List to control the event happen

Copyright © 1997 Altera Corporation 11/20/2015 P.27 Entity test1 is Port ( clk, d1, d2 : in bit; q1, q2 : out bit); end test1; architecture test1_body of test1 is begin Process (clk, d1) begin if (clk’event and clk = ‘1’) then q1 <= d1; end if; end process; Process (clk, d2) begin if (clk’event and clk= ‘1’) then q2 <= d2; end if; end process; end test1_body; Entity test1 is Port ( clk, d1, d2 : in bit; q1, q2 : out bit); end test1; architecture test1_body of test1 is begin Process (clk, d2) begin if (clk’event and clk = ‘1’) then q2 <= d2; end if; end process; Process (clk, d1) begin if (clk’event and clk= ‘1’) then q1 <= d1; end if; end process; end test1_body; This two processes execute in parallel The coding is execute in sequential within the process The output depends on input with conditional constraint

Copyright © 1997 Altera Corporation 11/20/2015 P.28 The two process statement execute in parallel

Copyright © 1997 Altera Corporation 11/20/2015 P.29 Now, I know what is –combinational logic –sequential logic –concurrent statement –process statement Q : What is the usage of this in VHDL ? A : Engineer can use the mixture of combinational logic, sequential logic, concurrent statement and process statement to do the design

Copyright © 1997 Altera Corporation 11/20/2015 P.30 How to... ? Now I know what is Combinational Logic but Q : How to implement of Combinational Logic in VHDL? Combinational Logic can be implemented by –Concurrent Signal Assigment Statements –Process Statement that describe purely combinational behaviour i.e. behaviour that does not depends on any CLOCK EDGE

Copyright © 1997 Altera Corporation 11/20/2015 P.31 Concurrent Statements for Combinational Logic

Copyright © 1997 Altera Corporation 11/20/2015 P.32 Concurrent Statements There are several different kinds of Concurrent Statements –(1) Simple Signal Assigments –(2) Conditional Signal Assigments –(3) Selected Signal Assigments

Copyright © 1997 Altera Corporation 11/20/2015 P.33 (1) Simple Signal Assigment These kind of statements are executed in Parallel Entity test1 is port ( a, b, e : in bit; c, d : out bit); end test1; architecture test1_body of test1 is begin c <= a and b; d <= e; end test1_body;

Copyright © 1997 Altera Corporation 11/20/2015 P.34 What kind of logic support AND NAND OR NOR XOR NOT more

Copyright © 1997 Altera Corporation 11/20/2015 P.35 I want 5 Input AND Gate Q :AND is only a two input, if I want more input, what can I do ? A : It is easy, we are due with Language not Graphic Entity test1 is port ( a, b, c, d, e : in bit; f : out bit); end test1; architecture test1_body of test1 is begin f <= a and b and c and d and e; end test1_body;

Copyright © 1997 Altera Corporation 11/20/2015 P.36 LAB 1 Design a 7 Input OR gate

Copyright © 1997 Altera Corporation 11/20/2015 P.37 Sampling Coding Entity test1 is port ( a, b, c, d, e, f, g : in bit; h : out bit); end test1; architecture test1_body of test1 is begin h <= a or b or c or d or e or f or g; end test1_body;

Copyright © 1997 Altera Corporation 11/20/2015 P.38 (2) Conditional Signal Assigments The output get the value when the condition is true –e.g. 2 to 1 multiplexer Entity test1 is port (in1, in2, sel : in bit; d : out bit); end test1; architecture test1_body of test1 is begin d <= in1 when sel = ‘0’ else in2; end test1_body;

Copyright © 1997 Altera Corporation 11/20/2015 P.39 If I want more -- 4 to 1 Mux Once again, you are due with Language not Graphic, so it is easy Entity test1 is port (in1, in2, in3, in4 : in bit; sel1, sel2 : in bit; d : out bit); end test1; architecture test1_body of test1 is begin d <= in1 when sel1 = ‘0’ and sel2 = ‘0’ else in2 when sel1 = ‘0’ and sel2 = ‘1’ else in3 when sel1 = ‘1’ and sel2 = ‘0’ else in4; end test1_body;

Copyright © 1997 Altera Corporation 11/20/2015 P.40 (3) Select Signal Assignments The output get value when matching with the selected item Entity test1 is port (a, b: in bit; sel : in bit; c : out bit); end test1; architecture test1_body of test1 is begin with sel select c <= a when ‘1’, b when ‘0’; end test1_body;

Copyright © 1997 Altera Corporation 11/20/2015 P.41 If I want more choice --- It is easy Entity test1 is port (in1, in2, in3, in4 : in bit; sel : in integer; out1 : out bit); end test1; architecture test1_body of test1 is begin with sel select out1 <= in1 when 0, in2 when 1, in3 when 2, in4 when 3; end test1_body;

Copyright © 1997 Altera Corporation 11/20/2015 P.42 LAB 2 Convert the design from Graphic to VHDL

Copyright © 1997 Altera Corporation 11/20/2015 P.43 Design Constraint Use the WHEN-ELSE statement to finish your design other

Copyright © 1997 Altera Corporation 11/20/2015 P.44 Sampling Coding Entity test1 is port (high, medium, low : in bit; highest_level3, highest_level2 : out bit; highest_level1, highest_level0 : out bit); end test1; architecture test1_body of test1 is begin highest_level3 <= ‘1’ when high=‘1’ and medium=‘0’ and low=‘0’ else ‘0’; highest_level2 <= ‘1’ when high=‘0’ and medium=‘1’ and low=‘0’ else ‘0’; highest_level1 <= ‘1’ when high=‘0’ and medium=‘0’ and low=‘1’ else ‘0’; highest_level0 <= ‘0’ when high=‘1’ and medium=‘0’ and low=‘0’ else ‘0’ when high=‘0’ and medium=‘1’ and low=‘0’ else ‘0’ when high=‘0’ and medium=‘0’ and low=‘1’ else ‘1’; end test1_body;

Copyright © 1997 Altera Corporation 11/20/2015 P.45 Simulation

Copyright © 1997 Altera Corporation 11/20/2015 P.46 Process Statement for Combinational Logic

Copyright © 1997 Altera Corporation 11/20/2015 P.47 Process Statement There are some rules for the Process Statement usage –any kind of Process Statement must have SENITIVITY LIST sensitivity list contains the signals that cause the process statement to execute if their values change –the statement within the Process Statement will be execute STEP-BY-STEP Q : What does it all means ? A : Follow me

Copyright © 1997 Altera Corporation 11/20/2015 P.48 Template for Process Statement SENSITIVITY LIST Using the “SENSITIVITY LIST” name : PROCESS (sensitivity_list) begin sequential statement #1 sequential statement # sequential statement # N END PROCESS name; General format for a Process Statemtent Process ( ) begin.. end process Sensitivity List Sequential Statement The sequential statement execute one by one and follow the order, ie. finish the #1 then #2 then #3 name is optional

Copyright © 1997 Altera Corporation 11/20/2015 P.49 Example will be more clear Entity test1 is port (a, b, sel1, sel2 : in bit; result : out bit); end test1; architecture test1_body of test1 is begin process (sel1, sel2,a, b) begin if (sel1 = ‘1’) then result <= a; elsif (sel2 = ‘1’) then result <= b; else result <= ‘0’; end if; end process; end test1_body; Result change if sel1, sel2, a or b change the value Wait : I can do the same join with Concurrent Statement

Copyright © 1997 Altera Corporation 11/20/2015 P.50 Entity test1 is port (a, b, sel1, sel2 : in bit; result : out bit); end test1; architecture test1_body of test1 is begin result <= a when sel1 = ‘1’ else b when sel2 = ‘1’ else ‘0’; end test1_body; Entity test1 is port (a, b, sel1, sel2 : in bit; result : out bit); end test1; architecture test1_body of test1 is begin process (sel1, sel2,a, b) begin if (sel1 = ‘1’) then result <= a; elsif (sel2 = ‘1’) then result <= b; else result <= ‘0’; end if; end process; end test1_body; Same function but different way to do the coding Concurrent StatementProcess Statement

Copyright © 1997 Altera Corporation 11/20/2015 P.51 Q : What is the different between Concurrent and Process Statement A : For this simple example, both Concurrent and Process can do the same job. But some function must use Process Statement to do

Copyright © 1997 Altera Corporation 11/20/2015 P.52 How to... ? Now I know what is Sequential Logic but Q : How to implement of Sequential Logic in VHDL? Sequential Logic can be implemented by –Process Statement describe the logic with some CLOCK signal

Copyright © 1997 Altera Corporation 11/20/2015 P.53 Process Statement for Sequential Logic

Copyright © 1997 Altera Corporation 11/20/2015 P.54 How to do the Latch Entity test1 is port (clk, d, reset : in bit; q : out bit); end test1; architecture test1_body of test1 is begin process (clk, d, reset) begin if (reset = ‘1’) then q <= ‘0’; elsif (clk = ‘1’) then q <= d; end if; end process; end test1_body; Reset take over the control first Clk take the control second Within the process excute in step-by-step

Copyright © 1997 Altera Corporation 11/20/2015 P.55 This is a LATCH

Copyright © 1997 Altera Corporation 11/20/2015 P.56 If I modify the code to... Entity test1 is port (clk, d, reset : in bit; q : out bit); end test1; architecture test1_body of test1 is begin process (clk) begin if (reset = ‘1’) then q <= ‘0’; elsif (clk = ‘1’) then q <= d; end if; end process; end test1_body; Note : the result is totally different What is it ?

Copyright © 1997 Altera Corporation 11/20/2015 P.57 I get a Flip-Flop not a LATCH

Copyright © 1997 Altera Corporation 11/20/2015 P.58 Why I have a Flip-Flop not a Latch Latch with a Sensitivity list process (clk, d, reset) Flip-Flop with a Sensitivity list process(clk) Q : What is the Sensitivity list use for ? A : The OUTPUT change when the Sensitivity list change

Copyright © 1997 Altera Corporation 11/20/2015 P.59 More Detail process (clk, d, reset) –this say that the OUTPUT change when either clk, d or reset change, if clk, d or reset not change, then maintain the output –what kind of device will provide this function ? LATCH

Copyright © 1997 Altera Corporation 11/20/2015 P.60 process (clk) –this say that OUTPUT change when CLK change, if clk does not change, maintain the output –what kind of device will provide this function ? Flip-Flop

Copyright © 1997 Altera Corporation 11/20/2015 P.61 Now you can see VHDL is very powerful, but if you don’t know what you are doing, you may not get what you want –e.g. you want a latch but actually you get a Flip-Flop

Copyright © 1997 Altera Corporation 11/20/2015 P.62 The other way of coding LIBRARY IEEE; USE IEEE.std_logic_1164.all; ENTITY tdff IS PORT(clk, d: in std_logic; q : out std_logic); END tdff; ARCHITECTURE behaviour OF tdff IS BEGIN PROCESS BEGIN wait until clk = '1'; q <= d; END PROCESS; END behaviour;

Copyright © 1997 Altera Corporation 11/20/2015 P.63 Compare IF-THEN-ELSE vs WATI UNTIL LIBRARY IEEE; USE IEEE.std_logic_1164.all; ENTITY tdff IS PORT(clk, d: in std_logic; q : out std_logic); END tdff; architecture behaviour OF tdff IS BEGIN PROCESS BEGIN wait until clk = '1'; q <= d; END PROCESS; END behaviour; Entity test1 is port (clk, d : in bit; q : out bit); end test1; architecture test1_body of test1 is begin process (clk) begin if (clk = ‘1’) then q <= d; end if; end process; end test1_body; Entity test1 is port (clk, d : in bit; q : out bit); end test1; architecture test1_body of test1 is begin process (clk,d) begin if (clk = ‘1’ and clk’event) then q <= d; end if; end process; end test1_body;

Copyright © 1997 Altera Corporation 11/20/2015 P.64 Review Concurrent Statement for –combinational logic (without Flip-flop circuit) eg. decoder, multiplixer, multiplier, adder Process Statement for –combinational logic (without Flip-Flop circuit) –Sequential logic (with Flip-Flop circuit) e.g. State machine, counters, controller

Copyright © 1997 Altera Corporation 11/20/2015 P.65

Copyright © 1997 Altera Corporation 11/20/2015 P.66 ENTITY test1 IS PORT( clk, a,b,c : in bit; d: out bit); END test1; architecture test1_body of test1 is begin d <= ((a and b) xor c); end test1_body; Is this OK ????

Copyright © 1997 Altera Corporation 11/20/2015 P.67 ENTITY test1 IS PORT( clk, a,b,c : in bit; d, e : out bit); END test1; architecture test1_body of test1 is begin process(a,b,c) begin d <= ((a and b) xor c); e <= ((a or b) nand c); end process; end test1_body; Is this OK ????

Copyright © 1997 Altera Corporation 11/20/2015 P.68 ENTITY test1 IS PORT( clk, a,b,c : in bit; d : out bit); END test1; architecture test1_body of test1 is begin process(a,b,c) begin d <= ((a and b) xor c); end process; end test1_body; Is this OK ????

Copyright © 1997 Altera Corporation 11/20/2015 P.69 ENTITY test1 IS PORT( clk, a,b,c : in bit; d,e : out bit); END test1; architecture test1_body of test1 is begin process(a,b,c) begin d <= ((a and b) xor c); e <= ((a or b) nand c); end process; end test1_body; Is this OK ????

Copyright © 1997 Altera Corporation 11/20/2015 P.70 ENTITY test1 IS PORT( clk, a,b,c : in bit; d, e : out bit); END test1; architecture test1_body of test1 is begin if (clk'event and clk='1') then d <= ((a or b) and c); end test1_body; Is this OK ????

Copyright © 1997 Altera Corporation 11/20/2015 P.71 ENTITY test1 IS PORT( clk, a,b,c : in bit; d : out bit); END test1; architecture test1_body of test1 is begin process(clk) begin if (clk'event and clk='1') then d <= ((a or b) and c); end if; end process; end test1_body; Is this OK ????

Copyright © 1997 Altera Corporation 11/20/2015 P.72 ENTITY test1 IS PORT( clk, a,b,c : in bit; d,e : out bit); END test1; architecture test1_body of test1 is begin process(clk,a,b,c) begin if (clk'event and clk='1') then d <= ((a xor b) and c); end if; e <= ((a or b) nand c); end process; end test1_body; Is this OK ????

Copyright © 1997 Altera Corporation 11/20/2015 P.73 ENTITY test1 IS PORT( clk, a,b,c : in bit; d,e,f : out bit); END test1; architecture test1_body of test1 is begin process(clk,a,b,c) begin if (clk'event and clk='1') then d <= ((a xor b) and c); end if; if (clk'event and clk='1') then e <= ((a or b) nand c); end if; if (a = '1') then f <= (a or b); end if; end process; end test1_body; Is this OK ????

Copyright © 1997 Altera Corporation 11/20/2015 P.74 Seat down an think of your design YN YN

Copyright © 1997 Altera Corporation 11/20/2015 P.75 Compare of AHDL vs VHDL Variable temp : dff temp.clk = clk; temp.d = data out = temp.out if (clk’event and clk=‘1’)then out <= d; end if; AHDLVHDL Both give me the DFF, and timing, functional are all the same, there is no difference between!!!

Copyright © 1997 Altera Corporation 11/20/2015 P.76 Closer look of the ADHL Variable temp : dff temp.clk = clk; temp.d = data out = temp.out I want a DFF and named it as “temp” The DFF’s clock input pin is connected to “clk” The DFF’s data input pin is connected to “data” The DFF’s out output pin is connected to “out” You want a DFF and tell the Max+Plus II how to connect all the input and output pin, give you a DFFconnect all the pin so Max+Plus II will follow your instruction and give you a DFF and connect all the pin in order to give you what you want. You will get a DFF today, tomorrow or 1000 years later, because you tell me you want a DFF

Copyright © 1997 Altera Corporation 11/20/2015 P.77 Closer look at the VHDL if (clk’event and clk=‘1’)then out <= d; end if; When there is a “clk” transaction and stable at “1” then perform the following function, how to connect the pin, I don’t know!!! I want the “out” equal to the “d”, but how to connect the pin, I don’t know!!!!!! So now, base on what the function you want, the VHDL compiler find out that a DFF will meet your requirement, so it give you a DFF. Since the DFF is given to you by VHDL compiler, so you may get a DFF today, but get a latch tomorrow, or get a JKFF 1000 years later -- because you just tell me what is the function you want and how to implement it is really up to the VHDL compiler, as far as VHDL give you the function you want is OK!!

Copyright © 1997 Altera Corporation 11/20/2015 P.78 Conclusion of learning Learned what is Combinational Logic/Circuits Learned what is Sequential Logic/Circuits Understand the different between Concurrent Statement and Process Statement

Copyright © 1997 Altera Corporation 11/20/2015 P.79 Understand the usage of the –Concurrent Statement for Combinational Logic –simple signal assignment statement –conditional signal assignment statement –selected signal assignement statement –Process Statement for Combinational Logic for Sequential Logic –if-then-else structure for Latch –if-then-else structure for Flip-Flop –wait until structure for Flip-Flop

Copyright © 1997 Altera Corporation 11/20/2015 P.80 All you learn is the basic concept of the VHDL language This is the Beginner VHDL Training Class The detail of the Circuit design will be covered at the Intermediate VHDL Training Class Advance VHDL language will be covered at the Expert VHDL Training Class

Copyright © 1997 Altera Corporation 11/20/2015 P.81 The most important thing of VHDL Language “ Tell me how your circuit should behave and the VHDL compiler will give you the hardware circuit that does the job” e.g. Latch and Flip-Flop is a good example

Copyright © 1997 Altera Corporation 11/20/2015 P.82 VHDL with Graphic Interface

Copyright © 1997 Altera Corporation 11/20/2015 P.83 I have some bug free Graphic designs. Q : I have some bug free Graphic designs, how can I re- use it with my new VHDL design file ? A : You can convert your Graphic design to VHDL coding design manually, but it takes time human conversion error OR A : You can convert your new VHDL coding design to Graphic and integrate with your old Graphic design it is fast and easy Max+Plus II handle the conversion, so no error guarantee

Copyright © 1997 Altera Corporation 11/20/2015 P.84 Good but How ??? It is easy, just follow me LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ENTITY adder IS PORT (op1, op2 : IN UNSIGNED(7 downto 0); result : OUT INTEGER); END adder; ARCHITECTURE maxpld OF adder IS BEGIN result <= CONV_INTEGER(op1 + op2); END maxpld; Use it as normal Graphic Symbol

Copyright © 1997 Altera Corporation 11/20/2015 P.85 See you at the Intermediate VHDL training class