1 Hybrid-Formal Coverage Convergence Dan Benua Synopsys Verification Group January 18, 2010.

Slides:



Advertisements
Similar presentations
Copyright 2000 Cadence Design Systems. Permission is granted to reproduce without modification. Introduction An overview of formal methods for hardware.
Advertisements

© Copyright 2013 Xilinx. How to Kill 4 Birds with 1 Stone: Using Formal Verification to Validate Legal Configurations, Find Design Bugs, and Improve Testbench.
Verification and Validation
Putting It All Together: Using Formal Verification In Real Life Erik Seligman CS 510, Lecture 19, March 2009.
Masahiro Fujita Yoshihisa Kojima University of Tokyo May 2, 2008
Promising Directions in Hardware Design Verification Shaz Qadeer Serdar Tasiran Compaq Systems Research Center.
Automatic Verification Book: Chapter 6. What is verification? Traditionally, verification means proof of correctness automatic: model checking deductive:
- Verifying “Golden” reused IPs The Evil’s in the Edits William C Wallace Texas Instruments Nitin Jayaram Texas Instruments Nitin Mhaske Atrenta Inc Vijay.
Hybrid System Verification Synchronous Workshop 2003 A New Verification Algorithm for Planar Differential Inclusions Gordon Pace University of Malta December.
Timed Automata.
ECE 720T5 Fall 2012 Cyber-Physical Systems Rodolfo Pellizzoni.
SLAM: SLice And Merge – Effective Test Generation for Large Systems ICCAD’13 Review Reviewer: Chien-Yen Kuo.
Iterative Context Bounding for Systematic Testing of Multithreaded Programs Madan Musuvathi Shaz Qadeer Microsoft Research.
How to Accelerate the Analog Design Verification Flow Itai Yarom Senior Verification Expert Synopsys.
Presenter: PCLee – This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation.
Leveraging Assertion Based Verification by using Magellan Michal Cayzer.
Universal Verification Methodology (UVM) Benefits Mustafa Khairallah Boost Valley Boost Valley Consulting 1.
The Future of Formal: Academic, IC, EDA, and Software Perspectives Ziyad Hanna VP of Research and Chief Architect Jasper Design Automation Ziyad Hanna.
Train Control Language Teaching Computers Interlocking By: J. Endresen, E. Carlson, T. Moen1, K. J. Alme, Haugen, G. K. Olsen & A. Svendsen Synthesizing.
Design For Verification Synopsys Inc, April 2003.
Model Checking. Used in studying behaviors of reactive systems Typically involves three steps: Create a finite state model (FSM) of the system design.
ECE Synthesis & Verification1 ECE 667 Spring 2011 Synthesis and Verification of Digital Systems Verification Introduction.
Presenter: PCLee Design Automation Conference, ASP-DAC '07. Asia and South Pacific.
Formal verification Marco A. Peña Universitat Politècnica de Catalunya.
Design Synopsys System Verilog API Donations to Accellera João Geada.
Formal Techniques for Verification Using SystemC By Nasir Mahmood.
Cheng/Dillon-Software Engineering: Formal Methods Model Checking.
Using a Formal Specification and a Model Checker to Monitor and Guide Simulation Verifying the Multiprocessing Hardware of the Alpha Microprocessor.
Streamline Verification Process with Formal Property Verification to Meet Highly Compressed Design Cycle Prosenjit Chatterjee, nVIDIA Corporation.
Katanosh Morovat.   This concept is a formal approach for identifying the rules that encapsulate the structure, constraint, and control of the operation.
©Ian Sommerville 2004Software Engineering, 7th edition. Chapter 22 Slide 1 Verification and Validation.
ECE 720T5 Winter 2014 Cyber-Physical Systems Rodolfo Pellizzoni.
Sparse Coding for Specification Mining and Error Localization Runtime Verification September 26, 2012 Wenchao Li, Sanjit A. Seshia University of California.
Advanced Technology Center Slide 1 Requirements-Based Testing Dr. Mats P. E. Heimdahl University of Minnesota Software Engineering Center Dr. Steven P.
Scientific Computing By: Fatima Hallak To: Dr. Guy Tel-Zur.
Some Course Info Jean-Michel Chabloz. Main idea This is a course on writing efficient testbenches Very lab-centric course: –You are supposed to learn.
Copyright © 2002 Qualis Design Corporation Industry and Textbook Overview Qualis Design Corporation PO Box 4444 Beaverton, Oregon USA Phone:
Using Formal Verification to Exhaustively Verify SoC Assemblies by Mark Handover Kenny Ranerup Applications Engineer ASIC Consultant Mentor Graphics Corp.
FORMAL VERIFICATION OF ADVANCED SYNTHESIS OPTIMIZATIONS Anant Kumar Jain Pradish Mathews Mike Mahar.
BE-SECBS FISA 2003 November 13th 2003 page 1 DSR/SAMS/BASP IRSN BE SECBS – IRSN assessment Context application of IRSN methodology to the reference case.
SystemVerilog. History Enhancement of Verilog Enhancement of Verilog 2002 – accellera publishes SystemVerilog – accellera publishes SystemVerilog.
Semi-automatic Property Generation for the Formal Verification of a Satellite On-board System Wesley Gonçalves Silva.
Quality Driven SystemC Design By Nasir Mahmood. Hybrid Approach The idea here is to combine the strengths of simulation – namely the ability to handle.
16 August Verilog++ Assertion Extension Requirements Proposal.
© 2006 Synopsys, Inc. (1) CONFIDENTIAL Simulation and Formal Verification: What is the Synergy? Carl Pixley Disclaimer: These opinions are mine alone and.
1 Qualitative Reasoning of Distributed Object Design Nima Kaveh & Wolfgang Emmerich Software Systems Engineering Dept. Computer Science University College.
1 Giving the Gorilla Some Brains: How Can Formal Complement Simulation? FMCAD Panel Discussion November 14, 2006 Andreas Kuehlmann.
1 Extending FPGA Verification Through The PLI Charles Howard Senior Research Engineer Southwest Research Institute San Antonio, Texas (210)
Verification & Validation By: Amir Masoud Gharehbaghi
HACNet Simulation-based Validation of Security Protocols Vinay Venkataraghavan Advisors: S.Nair, P.-M. Seidel HACNet Lab Computer Science and Engineering.
February 22-25, 2010 Designers Work Less with Quality Formal Equivalence Checking by Orly Cohen, Moran Gordon, Michael Lifshits, Alexander Nadel, and Vadim.
Using Symbolic PathFinder at NASA Corina Pãsãreanu Carnegie Mellon/NASA Ames.
Properties Incompleteness Evaluation by Functional Verification IEEE TRANSACTIONS ON COMPUTERS, VOL. 56, NO. 4, APRIL
Grigore Rosu Founder, President and CEO Professor of Computer Science, University of Illinois
Quality Assurance in the Presence of Variability Kim Lauenroth, Andreas Metzger, Klaus Pohl Institute for Computer Science and Business Information Systems.
Introduction to Hardware Verification ECE 598 SV Prof. Shobha Vasudevan.
Winter 2007SEG2101 Chapter 121 Chapter 12 Verification and Validation.
Verification vs. Validation Verification: "Are we building the product right?" The software should conform to its specification.The software should conform.
On the Relation Between Simulation-based and SAT-based Diagnosis CMPE 58Q Giray Kömürcü Boğaziçi University.
Gopakumar.G Hardware Design Group
runtime verification Brief Overview Grigore Rosu
Introduction to Formal Verification
All You Ever Wanted to Know About Dynamic Taint Analysis & Forward Symbolic Execution (but might have been afraid to ask) Edward J. Schwartz, Thanassis.
Using Formal Coverage Analyzer for Code Coverage improvement
Software Verification and Validation
Software Verification and Validation
SystemVerilog and Verification
State Abstraction Techniques for the Verification of Reactive Circuits
Software Verification and Validation
Functional Safety Solutions for Automotive
Presentation transcript:

1 Hybrid-Formal Coverage Convergence Dan Benua Synopsys Verification Group January 18, 2010

2 Formal and Hybrid methods typically employed in property checking can also be leveraged to attack coverage convergence problems. The Synopsys Magellan hybrid-formal tool has supported coverage convergence on production designs for several years. This talk will briefly review the technology and methodology considerations for this application. Hybrid formal technology is distinct from the automation of stimulus coverage closure used in simulation. (e.g. “Echo” feature in VCS) Abstract

3 Coverage Convergence & FPV The Problem of Constraints Handling Capacity Issues Hybrid-Formal Coverage Methodology Benefits & Limitations Future Directions Agenda

4 Traditional Coverage Convergence Methodology Time 100% Constraint Random tests coverage Directed tests (manual effort) Constrained random simulation ~ 70% Remaining few percent take lot of effort and time No knowledge if the remaining targets are coverable

5 Improving Convergence with Hybrid-Formal Techniques Time 100% Constraint Random tests coverage Formal Coverage Convergence 100% Unreachable Targets Directed tests (manual effort) Formal analysis identifies unreachable coverage targets Hybrid search improves automatic stimulus generation

6 Formal Analysis of Safety Properties –For each assertion: “Does a legal path exist from a reset state to a property failure state?” Coverage Closure –For each coverage target: “Does a legal path exist from a reset state to a state satisfying the coverage target?” Finding Paths Through the State Space of the DUV & Environment

7 State Space View Initial State Target State DUV + Env State Space If no path exists, target state is “Unreachable”

8 Formal vs. Simulation environment –Behavioural models not synthesizable –Declarative vs Procedural representation –cycle vs event semantics Capacity Issues –Number of Coverage Targets Functional (Covergroups, Cover Properties) Structural (line, condition, FSM, toggle…) –Trace Depth Number of cycles from an initial state to a goal state reaching each coverage target Formal method coverage closure: Challenges System level test environments … 1.Contain abstractions which can’t be synthesized into Finite state automata needed by pure formal solutions. 2.Often exceed model-checking algorithm capacity.

9 Finds paths to goal states that consist of some random simulation cycles and some cycles calculated by formal engines. Sacrifices exhaustive search in exchange for better capacity and performance. What is Hybrid Search?

10 Hybrid Search Illustrated Initial State Target State DUV + Env State Space Hybrid Trace: Dynamic + Formal

11 Block Level –< 10M gates, < 100K Coverage targets –Unreachable analysis can handle larger circuits (w/ approximation) Synthesizable DUT –With extensions, E.g. SVA, XMR, Monitors Formal-compatible constraints –SVA /PSL+ RTL modeling code –Constraint solver for stimulus generation –Good leverage with FPV flow Methodology Fit

12 1.Tool instruments design to select desired functional and structural coverage targets. 2.Run unreachability analysis without constraints to detect “uncoverable” targets. 3.Create and validate formal-compatible constraint environment. 4.Run constrained random simulation to hit “easy” coverage targets 5.Run hybrid search algorithm to find remaining “hard” reachable coverage targets 6.Merge coverage results from “hard”, “easy”, and “uncoverable” runs. Practical Implementation of Hybrid- Formal Coverage Convergence

13 Automated convergence, within the limits of tool capacity No conventional testbench required, but testbench monitors may be reused Coverage metrics measured in familiar simulation context Easy to parallelize on server farms Benefits of Hybrid Convergence

14 Non-exhaustive, some targets may remain “uncovered” Uses cycle-based semantics Large compute resource requirements and potentially long runtimes Requires caution when merging coverage from distinct environments Limitations

15 More flow automation for hybrid solutions Multi-core, multi-processor servers for performance/capacity increases Standardization of coverage databases, including formal (Accellera UCIS Technical Committee) Continued research on testbench-based coverage closure automation The Future

16 Hybrid-Formal techniques address a sub- set of the general problem of coverage closure Multiple users are seeing benefits from this technology when combined with FPV and conventional CR testbench methods Conclusion

17 Q&A