2012.09.24 Reporter: PCLee. Although assertions are a great tool for aiding debugging in the design and implementation verification stages, their use.

Slides:



Advertisements
Similar presentations
Computer Systems & Architecture Lesson 2 4. Achieving Qualities.
Advertisements

Delta Debugging and Model Checkers for fault localization
Using emulation for RTL performance verification
Data Dependencies Describes the normal situation that the data that instructions use depend upon the data created by other instructions, or data is stored.
White Box and Black Box Testing Tor Stålhane. What is White Box testing White box testing is testing where we use the info available from the code of.
- Verifying “Golden” reused IPs The Evil’s in the Edits William C Wallace Texas Instruments Nitin Jayaram Texas Instruments Nitin Mhaske Atrenta Inc Vijay.
Abstraction and Modular Reasoning for the Verification of Software Corina Pasareanu NASA Ames Research Center.
Presenter: PCLee VLSI Design, Automatic and Test, (VLSI-TSA-DAT).
Reap What You Sow: Spare Cells for Post-Silicon Metal Fix Kai-hui Chang, Igor L. Markov and Valeria Bertacco ISPD’08, Pages
Helper Threads via Virtual Multithreading on an experimental Itanium 2 processor platform. Perry H Wang et. Al.
Presenter: PCLee – This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation.
Reporter:PCLee With a significant increase in the design complexity of cores and associated communication among them, post-silicon validation.
CSE241 Formal Verification.1Cichy, UCSD ©2003 CSE241A VLSI Digital Circuits Winter 2003 Recitation 6: Formal Verification.
Bug Isolation via Remote Program Sampling Ben Liblit, Alex Aiken, Alice X.Zheng, Michael I.Jordan Presented by: Xia Cheng.
Fault Detection in a HW/SW CoDesign Environment Prepared by A. Gaye Soykök.
NATW 2008 Using Implications for Online Error Detection Nuno Alves, Jennifer Dworak, R. Iris Bahar Division of Engineering Brown University Providence,
Feng-Xiang Huang A Low-Cost SOC Debug Platform Based on On-Chip Test Architectures.
Presenter : Yeh Chi-Tsai System-on-chip validation using UML and CWL Qiang Zhu 1, Ryosuke Oish 1, Takashi Hasegawa 2, Tsuneo Nakata 1 1 Fujitsu Laboratories.
Presenter : Shao-Jay Hou. Today’s complex integrated circuit designs increasingly rely on post-silicon validation to eliminate bugs that escape from pre-silicon.
1 Presenter: Chien-Chih Chen. 2 An Assertion Library for On- Chip White-Box Verification at Run-Time On-Chip Verification of NoCs Using Assertion Processors.
ECE Synthesis & Verification1 ECE 667 Spring 2011 Synthesis and Verification of Digital Systems Verification Introduction.
1 Design For Debug Using DAFCA system Gadi Glikberg 15/6/06.
Presenter: PCLee Design Automation Conference, ASP-DAC '07. Asia and South Pacific.
Fundamentals of Simulation-Based Verification 1.Structure of a Testbench - stimulus, checkers, etc. 2.Observation and Assertions - automatic checking of.
Verifying Distributed Real-time Properties of Embedded Systems via Graph Transformations and Model Checking Gabor Madl
EE694v-Verification-Lect5-1- Lecture 5 - Verification Tools Automation improves the efficiency and reliability of the verification process Some tools,
Testing and Monitoring at Penn An Integrated Framework for Validating Model-based Embedded Software Li Tan University of Pennsylvania September, 2003.
1 Presenter: Chien-Chih Chen Proceedings of the 2002 workshop on Memory system performance.
Transaction Based Modeling and Verification of Hardware Protocols Xiaofang Chen, Steven M. German and Ganesh Gopalakrishnan Supported in part by SRC Contract.
Principle of Functional Verification Chapter 1~3 Presenter : Fu-Ching Yang.
Copyright © 2004 by Doulos Ltd. All Rights Reserved Experiences of a PSL Educator John Aynsley, Technical Director.
1 Fault-Tolerant Computing Systems #2 Hardware Fault Tolerance Pattara Leelaprute Computer Engineering Department Kasetsart University
Roza Ghamari Bogazici University April Outline Introduction SystemC Language Formal Verification Techniques for SystemC Design and Verification.
© 2012 IBM Corporation Rational Insight | Back to Basis Series Chao Zhang Unit Testing.
Self stabilizing Linux Kernel Mechanism Doron Mishali, Alex Plits Supervisors: Prof. Shlomi Dolev Dr. Reuven Yagel.
Reporter: PCLee. Assertions in silicon help post-silicon debug by providing observability of internal properties within a system which are.
Presenter : Ching-Hua Huang 2013/9/16 Visibility Enhancement for Silicon Debug Cited count : 62 Yu-Chin Hsu; Furshing Tsai; Wells Jong; Ying-Tsai Chang.
Presenter : Ching-Hua Huang 2013/7/15 A Unified Methodology for Pre-Silicon Verification and Post-Silicon Validation Citation : 15 Adir, A., Copty, S.
Presenter: Jyun-Yan Li Effective Software-Based Self-Test Strategies for On-Line Periodic Testing of Embedded Processors Antonis Paschalis Department of.
Presenter: PCLee Post-silicon validation is used to identify design errors in silicon. Its main limitation is real-time observability of the.
Joseph Cordina 1/11 The Use of Model-Checking for the Verification of Concurrent Algorithms Joseph Cordina Department of C.S.&A.I.
Semi-automatic Property Generation for the Formal Verification of a Satellite On-board System Wesley Gonçalves Silva.
Reference: Ian Sommerville, Chap 15  Systems which monitor and control their environment.  Sometimes associated with hardware devices ◦ Sensors: Collect.
©Ian Sommerville 2004Software Engineering, 7th edition. Chapter 20 Slide 1 Critical systems development 3.
IAY 0600 Digital Systems Design VHDL discussion Verification: Testbenches Alexander Sudnitson Tallinn University of Technology.
Software Development Problem Analysis and Specification Design Implementation (Coding) Testing, Execution and Debugging Maintenance.
Processor Architecture
Author: Alex Groce, Daniel Kroening, and Flavio Lerda Computer Science Department, Carnegie Mellon University Pittsburgh, PA Source: R. Alur and.
Macro Verification Guidelines Chapter 7.. Chap 7. Macro Verification Guidelines The goal of macro verification The macro is 100 percent correct in its.
Detecting Errors Using Multi-Cycle Invariance Information Nuno Alves, Jennifer Dworak, and R. Iris Bahar Division of Engineering Brown University Providence,
Verification of FT System Using Simulation Petr Grillinger.
© Copyright Alvarion Ltd. SVA Dafna Senderovich Jan 2006.
Lecture 1 – Overview (rSp06) ©2008 Joanne DeGroat, ECE, OSU -1- Functional Verification of Hardware Designs EE764 – Functional Verification of Hardware.
Properties Incompleteness Evaluation by Functional Verification IEEE TRANSACTIONS ON COMPUTERS, VOL. 56, NO. 4, APRIL
Error Explanation with Distance Metrics Authors: Alex Groce, Sagar Chaki, Daniel Kroening, and Ofer Strichman International Journal on Software Tools for.
Lecture 1 – Overview (rSp06) ©2008 Joanne DeGroat, ECE, OSU -1- Functional Verification of Hardware Designs EE764 – Functional Verification of Hardware.
Testing Integral part of the software development process.
On the Relation Between Simulation-based and SAT-based Diagnosis CMPE 58Q Giray Kömürcü Boğaziçi University.
SDN challenges Deployment challenges
Binary or Gray How is better?.
Advanced OS Concepts (For OCR)
HCI in the software process
Fault Tolerance Distributed Web-based Systems
HCI in the software process
FPGA Glitch Power Analysis and Reduction
Test Case Test case Describes an input Description and an expected output Description. Test case ID Section 1: Before execution Section 2: After execution.
Quantum Computation and Information Chap 1 Intro and Overview: p 28-58
Software Development Chapter 1.
Chapter 9: Implementation
Presentation transcript:

Reporter: PCLee

Although assertions are a great tool for aiding debugging in the design and implementation verification stages, their use in silicon debug has been limited so far. A set of techniques for debugging with the assertions in either pre-silicon or post- silicon scenarios are discussed. Presented are features such as assertion threading, activity monitors, assertion and cover counters and completion mode assertions. The common goal of these checker enhancements is to provide better and more diversified ways to achieve visibility within the assertion circuits, which, in turn, lead to more efficient circuit debugging. Experimental results show that such modifications can be done with modest checker hardware overhead.

[1]debugging hardware in DUT [3, 10, 11] MBAC: checker generator This paper [6] 4 debugging features: 1.Completion mode assertion 2.Activity monitors 3.Assertion and cover counter 4.Assertion threading [6] 4 debugging features: 1.Completion mode assertion 2.Activity monitors 3.Assertion and cover counter 4.Assertion threading integrate adopt Generate RTL from assertion language

What’s the problem:  Convert high-level assertion language into RTL is difficult.  Enhance assertion checker with several debug features. The proposed method:  MBAC – A tool for transforming PSL or SVA into RTL  Enhance assertion checker by following to increase visibility 。 Completion mode assertion 。 Activity monitors 。 Assertion and cover counter 。 Assertion threading

Dependency graphs:  All signal and parameter dependencies are listed in comment of assertion circuit.  Pinpoint the cause of an error Indicate assertion is complete and not trivially true. Replace asserting when finding error by asserting when finding success. The antecedent must occur. So the consequent determine if the assertion pass or fail.

Observe whether the input stimulus work correctly in a portion of checker. Check when consequent implication never occur, if the antecedent work correctly. If the antecedent never trigger, the antecedent is vacuously true.

Counting failure is straightforward, but counting cover directive require some modification. Cover only trigger at the end of execution. Counter numbers of sequence match

Debugging mechanism for a CPU pipeline. Replicate sequence circuits for failure conditions to isolate from other activations. Allow a violation condition to be separated from the other concurrent activations in the assertion circuit. Example:  5 stage pipeline  Check if memory/register write complete in correct stage.

Metrics:  Number of flip-flips and LUTs  Maximum operating frequency for the worst clk-to-clk path 1. Assertion completion  A little less LUTs than normal mode  A little faster than normal mode 2. Activity monitoring  Maximum operating frequency and FF are virtually not affected  The effect of OR gate is visible 3. Assertion threading  Resource utilisation scales linearly with the number of hardware threads

Author’s conclusion:  This paper presents 4 enhancement debugging method in assertion checker.  They are suitable for complex temporal sequence of assertion language. My conclusion:  The experimental result is lack of reason to explain why the area is small in completion mode.  This paper is about the deep utilization in hardware checker. It is good at discuss the hardware’s correct or error.