LOW-k DIELECTRIC WITH H2/He PLASMA CLEANING

Slides:



Advertisements
Similar presentations
PLASMA ETCHING OF EXTREMELY HIGH ASPECT RATIO FEATURES:
Advertisements

REACTION MECHANISM AND PROFILE EVOLUTION FOR CLEANING AND SEALING POROUS LOW-k DIELECTRICS USING He/H 2 AND Ar/NH 3 PLASMAS Juline Shoeb a) and Mark J.
PROPERTIES OF NONTHERMAL CAPACITIVELY COUPLED PLASMAS GENERATED IN NARROW QUARTZ TUBES FOR SYNTHESIS OF SILICON NANOPARTICLES* Sang-Heon Song a), Romain.
ION ENERGY DISTRIBUTIONS IN INDUCTIVELY COUPLED PLASMAS HAVING A BIASED BOUNDARY ELECTRODE* Michael D. Logue and Mark J. Kushner Dept. of Electrical Engineering.
CONTROL OF ELECTRON ENERGY DISTRIBUTIONS AND FLUX RATIOS IN PULSED CAPACITIVELY COUPLED PLASMAS* Sang-Heon Song a) and Mark J. Kushner b) a) Department.
CONTROL OF ELECTRON ENERGY DISTRIBUTIONS IN INDUCTIVELY COUPLED PLASMAS USING TANDEM SOURCES* Michael D. Logue (a), Mark J. Kushner (a), Weiye Zhu (b),
Section 3: Etching Jaeger Chapter 2 Reader.
ECE/ChE 4752: Microelectronics Processing Laboratory
MODELING OF H 2 PRODUCTION IN Ar/NH 3 MICRODISCHARGES Ramesh A. Arakoni a), Ananth N. Bhoj b), and Mark J. Kushner c) a) Dept. Aerospace Engr, University.
NUMERICAL INVESTIGATION OF WAVE EFFECTS IN HIGH-FREQUENCY CAPACITIVELY COUPLED PLASMAS* Yang Yang and Mark J. Kushner Department of Electrical and Computer.
EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner.
SiO 2 ETCH PROPERTY CONTROL USING PULSE POWER IN CAPACITIVELY COUPLED PLASMAS* Sang-Heon Song a) and Mark J. Kushner b) a) Department of Nuclear Engineering.
RECIPES FOR PLASMA ATOMIC LAYER ETCHING*
ISPC 2003 June , 2003 Consequences of Long Term Transients in Large Area High Density Plasma Processing: A 3-Dimensional Computational Investigation*
WAVE AND ELECTROSTATIC COUPLING IN 2-FREQUENCY CAPACITIVELY COUPLED PLASMAS UTILIZING A FULL MAXWELL SOLVER* Yang Yang a) and Mark J. Kushner b) a) Department.
FLUORINATION WITH REMOTE INDUCTIVELY COUPLED PLASMAS SUSTAINED IN Ar/F 2 AND Ar/NF 3 GAS MIXTURES* Sang-Heon Song a) and Mark J. Kushner b) a) Department.
FACTORS AFFECTING THE SEALING EFFICIENCY OF LOW-k DIELECTRIC SURFACE PORES USING SUCCESSIVE He AND Ar/NH 3 PLASMA TREATMENTS Juline Shoeb a) and Mark J.
SiO 2 ETCH RATE AND PROFILE CONTROL USING PULSE POWER IN CAPACITIVELY COUPLED PLASMAS* Sang-Heon Song a) and Mark J. Kushner b) a) Department of Nuclear.
University of Illinois Optical and Discharge Physics ETCH PROFILES IN SOLID AND POROUS SiO 2  Solid  Porosity = 45 % Pore radius = 10 nm  Porous SiO.
THE WAFER- FOCUS RING GAP*
WAFER EDGE EFFECTS CONSIDERING ION INERTIA IN CAPACITIVELY COUPLED DISCHARGES* Natalia Yu. Babaeva and Mark J. Kushner Iowa State University Department.
PLASMA ATOMIC LAYER ETCHING*
MAGNETICALLY ENHANCED MULTIPLE FREQUENCY CAPACITIVELY COUPLED PLASMAS: DYNAMICS AND STRATEGIES Yang Yang and Mark J. Kushner Iowa State University Department.
EDGE EFFECTS IN REACTIVE ION ETCHING: THE WAFER- FOCUS RING GAP* Natalia Yu. Babaeva and Mark J. Kushner Iowa State University Department of Electrical.
SURFACE MODIFICATION OF POLYMER PHOTORESISTS TO PROTECT PATTERN TRANSFER IN FLUOROCARBON PLASMA ETCHING* Mingmei Wanga) and Mark J. Kushnerb) a)Iowa State.
INVESTIGATIONS OF MAGNETICALLY ENHANCED RIE REACTORS WITH ROTATING (NON-UNIFORM) MAGNETIC FIELDS Natalia Yu. Babaeva and Mark J. Kushner University of.
AVS 2002 Nov 3 - Nov 8, 2002 Denver, Colorado INTEGRATED MODELING OF ETCHING, CLEANING AND BARRIER COATING PVD FOR POROUS AND CONVENTIONAL SIO 2 IN FLUOROCARBON.
Aspect Ratio Dependent Twisting and Mask Effects During Plasma Etching of SiO2 in Fluorocarbon Gas Mixture* Mingmei Wang1 and Mark J. Kushner2 1Iowa State.
PHOTON EFFECTS IN DAMAGE OF POROUS LOW-k SIOCH DURING PLASMA CLEANING * Juline Shoeb a) and Mark J. Kushner b) a) Department of Electrical and Computer.
STREAMER INITIATION AND PROPAGATION IN WATER WITH THE ASSISTANCE OF BUBBLES AND ELECTRIC FIELD INITIATED RAREFACTION Wei Tian a) and Mark J. Kushner b)
PLASMA ATOMIC LAYER ETCHING USING CONVENTIONAL PLASMA EQUIPMENT*
SIMULATION OF POROUS LOW-k DIELECTRIC SEALING BY COMBINED He AND NH 3 PLASMA TREATMENT * Juline Shoeb a) and Mark J. Kushner b) a) Department of Electrical.
Lecture 11.0 Etching. Etching Patterned –Material Selectivity is Important!! Un-patterned.
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #7. Etching  Introduction  Etching  Wet Etching  Dry Etching  Plasma Etching  Wet vs. Dry Etching  Physical.
VUV PHOTON SOURCE OF A MICROWAVE EXCITED MICROPLASMAS AT LOW PRESSURE*
Lecture 12.0 Deposition. Materials Deposited Dielectrics –SiO2, BSG Metals –W, Cu, Al Semiconductors –Poly silicon (doped) Barrier Layers –Nitrides (TaN,
EFFECT OF BIAS VOLTAGE WAVEFORMS ON ION ENERGY DISTRIBUTIONS AND FLUOROCARBON PLASMA ETCH SELECTIVITY* Ankur Agarwal a) and Mark J. Kushner b) a) Department.
PLASMA DYNAMICS OF MICROWAVE EXCITED MICROPLASMAS IN A SUB-MILLIMETER CAVITY* Peng Tian a), Mark Denning b), Mehrnoosh Vahidpour, Randall Urdhal b) and.
TRIGGERING EXCIMER LASERS BY PHOTOIONIZATION FROM A CORONA DISCHARGE* Zhongmin Xiong and Mark J. Kushner University of Michigan Ann Arbor, MI USA.
1 Chemical Engineering Tools for Semiconductor Fabrication David Cohen, PhD AIChE Norcal Symposium April 12, 2005.
Yiting Zhangb, Mark Denninga, Randall S. Urdahla and Mark J. Kushnerb
SPACE AND PHASE RESOLVED MODELING OF ION ENERGY ANGULAR DISTRIBUTIONS FROM THE BULK PLASMA TO THE WAFER IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMAS*
SiO2 ETCH PROPERTIES AND ION ENERGY DISTRIBUTION IN PULSED CAPACITIVELY COUPLED PLASMAS SUSTAINED IN Ar/CF4/O2* Sang-Heon Songa) and Mark J. Kushnerb)
Top Down Manufacturing
Top Down Method Etch Processes
IC Processing. Initial Steps: Forming an active region Si 3 N 4 is etched away using an F-plasma: Si3dN4 + 12F → 3SiF 4 + 2N 2 Or removed in hot.
SUMMARYSUMMARY  Ion bombardment control,  Thermal management,  No Defects (pits or pillars) formation,  Profile control  Plasma repeatability  Plasma.
DEVELOPMENT OF ION ENERGY ANGULAR DISTRIBUTION THROUGH THE PRE-SHEATH AND SHEATH IN DUAL-FREQUENCY CAPACITIVELY COUPLED PLASMAS* Yiting Zhanga, Nathaniel.
CONTROL OF ELECTRON ENERGY DISTRIBUTIONS THROUGH INTERACTION OF ELECTRON BEAMS AND THE BULK IN CAPACITIVELY COUPLED PLASMAS* Sang-Heon Song a) and Mark.
DRY ETCHING OF Si 3 N 4 USING REMOTE PLASMA SOURCES SUSTAINED IN NF 3 MIXTURES* Shuo Huang and Mark J. Kushner Department of Electrical Engineering and.
PROPERTIES OF UNIPOLAR DC-PULSED MICROPLASMA ARRAYS AT INTERMEDIATE PRESSURES* Peng Tian a), Chenhui Qu a) and Mark J. Kushner a) a) University of Michigan,
Consequences of Implanting and Surface Mixing During Si and SiO 2 Plasma Etching* Mingmei Wang 1 and Mark J. Kushner 2 1 Iowa State University, Ames, IA.
STOCHASTIC DEFECT DETECTION FOR MONTE-CARLO FEATURE PROFILE MODEL * MIPSE Graduate Symposium 2015 Chad Huard and Mark Kushner University of Michigan, Dept.
Reaction Mechanism and Profile Evolution for HfO2 High-k Gate-stack Etching: Integrated Reactor and Feature Scale Modeling* Juline Shoeba) and Mark J.
HIGH FREQUENCY CAPACITIVELY COUPLED PLASMAS: IMPLICIT ELECTRON MOMENTUM TRANSPORT WITH A FULL-WAVE MAXWELL SOLVER* Yang Yang a) and Mark J. Kushner b)
DBD ON LIQUID COVERED TISSUE: MODELING LONG-TIMESCALE CHEMISTRY*
Chenhui Qu, Peng Tian and Mark J. Kushner
Simulation of feature profile evolution for thin film processes involving simultaneous deposition and etching Nathan Marchack, Calvin Pham, John Hoang.
INVESTIGATING THE ROLE PROCESS NON-IDEALITY IN THE ATOMIC LAYER ETCHING OF HIGH ASPECT RATIO FEATURES* Chad Huard and Mark J. Kushner University of Michigan.
Yiting Zhang and Mark J. Kushner
DEVELOPMENT OF ION ENERGY DISTRIBUTIONS THROUGH THE PRE-SHEATH AND SHEATH IN DUAL-FREQUENCY CAPACITIVELY COUPLED PLASMAS* Yiting Zhanga, Nathaniel Mooreb,
DEVELOPMENT OF ION ENERGY DISTRIBUTIONS THROUGH THE PRE-SHEATH AND SHEATH IN DUAL-FREQUENCY CAPACITIVELY COUPLED PLASMAS* Yiting Zhanga, Nathaniel Mooreb,
Sang-Heon Songa) and Mark J. Kushnerb)
ENGINEERING THE FOCUS RING*
Amanda M. Lietz, Seth A. Norberg, and Mark J. Kushner
Shuo Huang, Chad Huard and Mark J. Kushner
PLASMA ETCHING OF HIGH ASPECT RATIO OXIDE-NITRIDE-OXIDE STACKS*
Flux and Energy of Reactive Species Arriving at the Etch Front in High Aspect Ratio Features During Plasma Etching of SiO2 in Ar/CF4/CHF3 Mixtures* Soheila.
ION ENERGY DISTRIBUTIONS TO PARTICLES IN CORONA DISCHARGES
COUPLING OF SCALES IN MODELING OF SEMICONDUCTOR MANUFACTURING*
Presentation transcript:

LOW-k DIELECTRIC WITH H2/He PLASMA CLEANING SEALING OF POROUS LOW-k DIELECTRIC WITH H2/He PLASMA CLEANING Juline Shoeba) and Mark J. Kushnerb) a) Department of Electrical and Computer Engineering Iowa State University, Ames, IA 50011 jshoeb@eecs.umich.edu b) Department of Electrical Engineering and Computer Science University of Michigan Ann Arbor, Ann Arbor, MI 48109 mjkush@umich.edu http://uigelz.eecs.umich.edu June 2010 *Work supported by Semiconductor Research Corporation ICOPS10_01

University of Michigan Institute for Plasma Science & Engr. AGENDA Sealing of Low-k Dielectrics Modeling Platforms Generation of Hot H Polymer Removal and PR Stripping In He/H2 Mixtures Sealing Mechanism Using Ar/NH3 Plasma Treatment Sealing Efficiency Pore Radius and Aspect Ratio Concluding Remarks University of Michigan Institute for Plasma Science & Engr. ICOPS10_02

POROUS LOW-k DIELECTRICS The capacitance of the insulator contributes to RC delays in interconnect wiring. Low-k porous oxides, such as C doped SiO2 (CHn lining pores) reduce the RC delay. Porosity  0.5, Interconnectivity  0.5. Inter-connected pores open to plasma may degrade k-value by reactions with plasma species. Desire to seal pores. Ref: http://www.necel.com/process/en/images/porous_low-k_e.gif University of Michigan Institute for Plasma Science & Engr. ICOPS10_03

PORE PLASMA SEALING MECHANISM Treatment Time (s) Function He/H2 610 Polymer Clean, PR Strip, Surface Activation Ar/NH3 35 ( Post-He/H2) Sealing Investigated 2-step process: He/H2 plasma He+ and photons break Si-O bonds Hot H, He+ remove H from pore lining CHn groups, thereby activating sites. Hot H strips off photo-resist. NH3 plasma: Seals pores by forming C-N and Si-N bonds which bridges opening. Reaction mechanisms and scaling laws for He/H2 and NH3 plasma sealing of porous SiCOH have been developed based on results from a computational investigation. Ref: A. M. Urbanowicz, M. R. Baklanov, J. Heijlen, Y. Travaly, and A. Cockburn, Electrochem. Solid-State Lett. 10, G76 (2007). University of Michigan Institute for Plasma Science & Engr. ICOPS10_04

MODELING : LOW-k PORE SEALING He/H2 PLASMA Ar/NH3 PLASMAS Coils Energy and angular distributions for ions and neutrals Plasma Metal Porous Low-k Substrate Wafer Hybrid Plasma Equipment Model (HPEM) Plasma Chemistry Monte Carlo Module (PCMCM) Monte Carlo Feature Profile Model (MCFPM) University of Michigan Institute for Plasma Science & Engr. ICOPS10_05

MONTE CARLO FEATURE PROFILE MODEL (MCFPM) The MCFPM resolves the surface topology on a 2D Cartesian mesh to predict etch profiles. Each cell in the mesh has a material identity. (Cells are 4 x 4 ). Gas phase species are represented by Monte Carlo pseuodoparticles. Pseuodoparticles are launched towards the wafer with energies and angles sampled from the distributions obtained from the PCMCM. Cells identities changed, removed, added for reactions, etching, and deposition. HPEM PCMCM Energy and angular distributions for ions and neutrals MCFPM Provides etch rate And predicts etch profile University of Michigan Institute for Plasma Science & Engr. ICOPS10_06

INITIAL LOW-k PROCESS INTEGRATION 80 nm thick porous SiCOH. CH3 lines pores with Si-C bonding. Ave pore radius: 0.8-1.1 nm Process integration steps: Ar/C4F8/O2 CCP: Etch 10:1 Trench He/H2 ICP: Remove CFx polymer, PR; activate surface sites. NH3 ICP: Seal Pores Hard Mask Porous Low-k SiCOH Si University of Michigan Institute for Plasma Science & Engr. ICOPS10_07

SiOCH ETCHING IN Ar/C4F8/O2 PLASMAS SiO2 Etching M+ + SiO2(s)  SiO2*(s) + M CxFy + SiO2*(s)  SiO2CxFy(s) M+ + SiO2CxFy(s)  SiFm + COn + M CHn Group Etching M+ + CHn(s)  CHn-1(s) + H + M O + CHn(s)  CO + Hn Polymer Deposition M+ + SiO2CxFy(s)  SiO2CxFy*(s) + M CxFy + SiO2CxFy*(s)  SiO2CxFy(s) + POLY(s) CxFy + CHn-1  CHn-1(s) + CxFy(s) M+ + CxFy(s)  CxFy*(s) + M CxFy* + CxFy (g)  CxFy(s) + POLY(s) University of Michigan Institute for Plasma Science & Engr. ICOPS10_08

He/H2 PLASMAS: POLYMER/PR ASHING AND SURFACE ACTIVATION Polymer Removal M+ + POLY(s)  CF2 + M H** + POLY(s)  CHF2 H** + POLY(s)  CF + HF H2** + POLY(s)  CH2F2 PR Etching M+ + PR(s)  PR + M H** + PR(s)  CH4 H2** + PR(s)  CH4 SiO2/CHn Activation M+ + CHn(s)  CHn-1(s) + H + M H** + CHn(s)  CHn-1(s) + H2 M+ + SiO2(s)  SiO(s) + O(s) + M hν + SiO2(s)  SiO(s) + O(s) University of Michigan Institute for Plasma Science & Engr. ICOPS10_09 **Translationally hot

SEALING MECHANISM IN Ar/NH3 PLASMA N/NHx species are adsorbed by activated sites forming Si-N and C-N bonds to seal pores. Further Bond Breaking M+ + SiO2(s)  SiO(s) + O(s) + M M+ + SiO(s)  Si(s) + O(s) + M N/NHx Adsorption NHx + SiOn(s)  SiOnNHx(s) NHx + Si(s)  SiNHx(s) NHx + CHn-1 (s)  CHn-1NHx(s) NHx + P*(s)  P(s) + NHx(s) SiNHx-NHy/CNHx-NHy compounds seal the pores where end N are bonded to Si or C by Si-C/Si-N NHy + SiNHx(s)  SiNHx-NHy(s) NHy + CHn-1NHx(s)  CHn-1NHx-NHy(s) University of Michigan Institute for Plasma Science & Engr. ICOPS10_10

PORE-SEALING BY SUCCESSIVE He/H2 AND NH3/Ar TREATMENT Initial Surface Pores He/H2 Plasma Site Activation Ar/NH3 Plasma Pore Sealing Surface pore sites are activated by 610s He/H2 plasma treatment. Ar/NH3 plasma treatment seals the pores by forming bridging Si-N, N-N and Si-C bonds. Animation Slide-GIF University of Michigan Institute for Plasma Science & Engr. ICOPS10_11

TYPICAL PLASMA PROPERTIES: H2/He ICP Total ion density (cm-3): 1.50 x 1011 Neutral densities (cm-3): H 9.0 x 1012 H2 7.0 x 1013 H2(v=1) 3.0 x 1011 H2(v=2) 3.0 x 1011 H2(v=3) 3.0 x 1011 H2(v=4) 3.0 x 1011 H2(v=5) 3.0 x 1011 Major fluxes to the substrate (cm-2 s-1): H 6.0 x 1017 H2 3.0 x 1018 H2(v=1) 2.0 x 1016 H2(v=2) 2.0 x 1016 H2(v=2) 2.0 x 1016 H+ 2.0 x 1015 H2+ 8.0 x 1013 Conditions: H2/He = 25/75, 10 mTorr, 300 W ICP University of Michigan Institute for Plasma Science & Engr. ICOPS10_12

HOT H GENERATION: He/H2 ICP Vibrational Excitation e + H2(v=0)  H2(v=1) + e e + H2(v=n)  H2(v=n+1) + e Hot H Generation e + H2(v=n)  H** + H** + e Charge Exchange Reactions H2(v=n) + H2+  H2(v=n)** + H2+ H2(v=n) + H2+  H** + H3+ H + H2+  H2(v=0)** + H+ H2(v=n) + H+  H** + H2+ H + H+  H** + H+ Conditions: H2/He = 25/75, 10 mTorr, 300 W ICP University of Michigan Institute for Plasma Science & Engr. **Translationally hot ICOPS10_13

Ar/C4F8/O2 CCP TRENCH ETCH Photo-Resist CCP for trench etch. Ar/C4F8/O2 = 80/15/5 40 mTorr, 300 sccm 10 MHz 5 kW CFx polymer deposited on the side-walls efficiently seal the open pores. CFx polymers are harmful to diffusion barrier metals such as Ti and Ta. Polymer layers can be removed by one of the following: He/H2 plasmas without surface damage. O2 plasmas that etch the CH3 groups. Porous Low-k SiCOH Si University of Michigan Institute for Plasma Science & Engr. Animation Slide-GIF ICOPS10_14

POLYMER REMOVAL AND PR STRIPPING He/H2 plasma used for both polymer (P) removal and photoresist (PR) stripping. Hot H, H2, H+ and H2+ remove polymers and masking PR layers as CH4, HF, and CxHyFz H** + POLY(s)  CF + HF H** + POLY(s)  CHF2 H2** + POLY(s)  CH2F2 H** + PR(s)  CH4 H2** + PR(s)  CH4. CHn groups are also activated by H removal H** + CHn(s)  CHn-1 + H2. Porous Low-k SiCOH Si Animation Slide-GIF University of Michigan Institute for Plasma Science & Engr. ICOPS10_15 **Translationally hot

POLYMER REMOVAL, CH3 DEPLETION Ar/O2 plasma efficiently removes polymer. Also removes CH3 groups in pores as O atoms diffuse into the porous network. Net result is increase in pore size. Pore openings can get too large to easily seal. He/H2 plasma removes polymer without significantly depleting CH3. Low-k SiCOH Si University of Michigan Institute for Plasma Science & Engr. ICOPS10_16

SEALING: WITH POLYMER REMOVAL AND PR STRIP Ar/O2 Clean: additional He treatment is required for surface activation, followed by NH3 plasma sealing. He/H2 Clean: can do both activation and cleaning in a single step. Successive NH3 plasma exposure seals the surface pores forming Si-N and C-N bonds. He/H2 Activation Sealing He/H2 Activation Sealing Si Si University of Michigan Institute for Plasma Science & Engr. Animation Slide-GIF ICOPS10_17

SEALING EFFICIENCY: PORE RADIUS Ar/O2 Clean: sealing efficiency decreases with increasing pore size. H2/He Clean: selective cleaning does not enlarge openings. Broad angular distribution of H improves surface activation and sealing. Ar/O2 Clean He/H2 Clean Good Sealing Poor Sealing Animation Slide-GIF University of Michigan Institute for Plasma Science & Engr. ICOPS10_18 18

SEALING EFFICIENCY: ASPECT RATIO O2 Clean: sealing efficiency on sidewalls decreases with increasing aspect ratio. He/H2 Clean: sealing does not degrade with higher aspect ratio. Hot H activates all of the surface sites due to its broad angular distribution. University of Michigan Institute for Plasma Science & Engr. ICOPS10_19 19

University of Michigan Institute for Plasma Science & Engr. CONCLUDING REMARKS Integrated porous low-k material sealing was computationally investigated Ar/C4F8/O2 Etch H2/He Clean, PR Strip, and Surface Activation Ar/NH3 Sealing He/H2 plasmas clean polymer, strips off PR and activates surface sites in a single step. Higher activation and lower damage seal the surface better. Si-N and C-N bonds formed by adsorption on active sites followed by one N-N bond linking C or Si atoms from opposite pore walls. For Ar/O2 clean, sealing efficiency degrades when pore radius is >1 nm and aspect ratio >10. He/H2 clean enables sealing of larger pores and higher aspect ratio trenches. University of Michigan Institute for Plasma Science & Engr. ICOPS10_20