System-Wide Energy Minimization for Real-Time Tasks: Lower Bound and Approximation Xiliang Zhong and Cheng-Zhong Xu Dept. of Electrical & Computer Engg.

Slides:



Advertisements
Similar presentations
QoS-based Management of Multiple Shared Resources in Dynamic Real-Time Systems Klaus Ecker, Frank Drews School of EECS, Ohio University, Athens, OH {ecker,
Advertisements

© 2004 Wayne Wolf Topics Task-level partitioning. Hardware/software partitioning.  Bus-based systems.
S YSTEM -W IDE E NERGY M ANAGEMENT FOR R EAL -T IME T ASKS : L OWER B OUND AND A PPROXIMATION Xiliang Zhong and Cheng-Zhong Xu ICCAD 2006, ACM Trans. on.
Real- time Dynamic Voltage Scaling for Low- Power Embedded Operating Systems Written by P. Pillai and K.G. Shin Presented by Gaurav Saxena CSE 666 – Real.
S. J. Shyu Chap. 1 Introduction 1 The Design and Analysis of Algorithms Chapter 1 Introduction S. J. Shyu.
RUN: Optimal Multiprocessor Real-Time Scheduling via Reduction to Uniprocessor Paul Regnier † George Lima † Ernesto Massa † Greg Levin ‡ Scott Brandt ‡
Power Management Algorithms An effort to minimize Processor Temperature and Energy Consumption.
Soft Real-Time Semi-Partitioned Scheduling with Restricted Migrations on Uniform Heterogeneous Multiprocessors Kecheng Yang James H. Anderson Dept. of.
Towards Feasibility Region Calculus: An End-to-end Schedulability Analysis of Real- Time Multistage Execution William Hawkins and Tarek Abdelzaher Presented.
Parameterized Approximation Scheme for the Multiple Knapsack Problem by Klaus Jansen (SODA’09) Speaker: Yue Wang 04/14/2009.
26 April A Compositional Framework for Real-Time Guarantees Insik Shin and Insup Lee Real-time Systems Group Systems Design Research Lab Dept. of.
Investigating the Effect of Voltage- Switching on Low-Energy Task Scheduling in Hard Real-Time Systems Paper review Presented by Chung-Fu Kao.
Energy-Aware Modeling and Scheduling of Real-Time Tasks for Dynamic Voltage Scaling Xiliang Zhong and Cheng-Zhong Xu Dept. of Electrical & Computer Engg.
Branch and Bound Algorithm for Solving Integer Linear Programming
Embedded System Design Framework for Minimizing Code Size and Guaranteeing Real-Time Requirements Insik Shin, Insup Lee, & Sang Lyul Min CIS, Penn, USACSE,
Algorithmic problems in Scheduling jobs on Variable-speed processors Frances Yao City University of Hong Kong.
10/31/02CSE Greedy Algorithms CSE Algorithms Greedy Algorithms.
Energy, Energy, Energy  Worldwide efforts to reduce energy consumption  People can conserve. Large percentage savings possible, but each individual has.
End-to-End Delay Analysis for Fixed Priority Scheduling in WirelessHART Networks Abusayeed Saifullah, You Xu, Chenyang Lu, Yixin Chen.
CS 423 – Operating Systems Design Lecture 22 – Power Management Klara Nahrstedt and Raoul Rivas Spring 2013 CS Spring 2013.
Minimizing Response Time Implication in DVS Scheduling for Low Power Embedded Systems Sharvari Joshi Veronica Eyo.
VOLTAGE SCHEDULING HEURISTIC for REAL-TIME TASK GRAPHS D. Roychowdhury, I. Koren, C. M. Krishna University of Massachusetts, Amherst Y.-H. Lee Arizona.
Abhilash Thekkilakattil, Radu Dobrin, Sasikumar Punnekkat Mälardalen Real-time Research Center, Mälardalen University Västerås, Sweden Preemption Control.
Embedded System Design Framework for Minimizing Code Size and Guaranteeing Real-Time Requirements Insik Shin, Insup Lee, & Sang Lyul Min CIS, Penn, USACSE,
Baoxian Zhao Hakan Aydin Dakai Zhu Computer Science Department Computer Science Department George Mason University University of Texas at San Antonio DAC.
Computer Science Department University of Pittsburgh 1 Evaluating a DVS Scheme for Real-Time Embedded Systems Ruibin Xu, Daniel Mossé and Rami Melhem.
Energy-Efficient Soft Real-Time CPU Scheduling for Mobile Multimedia Systems Wanghong Yuan, Klara Nahrstedt Department of Computer Science University of.
Low Power Design for Real-Time Systems Low power (energy) consumption is a key design for embedded systems Battery’s life during operation Reliability.
A Polynomial Time Approximation Scheme For Timing Constrained Minimum Cost Layer Assignment Shiyan Hu*, Zhuo Li**, Charles J. Alpert** *Dept of Electrical.
Efficient and Scalable Computation of the Energy and Makespan Pareto Front for Heterogeneous Computing Systems Kyle M. Tarplee 1, Ryan Friese 1, Anthony.
Approximation Algorithms for Task Allocation with QoS and Energy Considerations Bader N. Alahmad.
Dynamic Slack Reclamation with Procrastination Scheduling in Real- Time Embedded Systems Paper by Ravindra R. Jejurikar and Rajesh Gupta Presentation by.
Energy-Aware Scheduling with Quality of Surveillance Guarantee in Wireless Sensor Networks Jaehoon Jeong, Sarah Sharafkandi and David H.C. Du Dept. of.
Probabilistic Preemption Control using Frequency Scaling for Sporadic Real-time Tasks Abhilash Thekkilakattil, Radu Dobrin and Sasikumar Punnekkat.
Quantifying the Sub-optimality of Non-preemptive Real-time Scheduling Abhilash Thekkilakattil, Radu Dobrin and Sasikumar Punnekkat.
Approximation Algorithms for Knapsack Problems 1 Tsvi Kopelowitz Modified by Ariel Rosenfeld.
Robot Highway Safety Markers algorithm focuses on the sporadic task model, which puts only a lower bound on the time separation interval between the release.
Scheduling policies for real- time embedded systems.
DESIGNING VM SCHEDULERS FOR EMBEDDED REAL-TIME APPLICATIONS Alejandro Masrur, Thomas Pfeuffer, Martin Geier, Sebastian Drössler and Samarjit Chakraborty.
1 Distributed Energy-Efficient Scheduling for Data-Intensive Applications with Deadline Constraints on Data Grids Cong Liu and Xiao Qin Auburn University.
1 Exploring Custom Instruction Synthesis for Application-Specific Instruction Set Processors with Multiple Design Objectives Lin, Hai Fei, Yunsi ACM/IEEE.
Company name KUAS HPDS A Realistic Variable Voltage Scheduling Model for Real-Time Applications ICCAD Proceedings of the 2002 IEEE/ACM international conference.
A Node and Load Allocation Algorithm for Resilient CPSs under Energy-Exhaustion Attack Tam Chantem and Ryan M. Gerdes Electrical and Computer Engineering.
A Faster Approximation Scheme for Timing Driven Minimum Cost Layer Assignment Shiyan Hu*, Zhuo Li**, and Charles J. Alpert** *Dept of ECE, Michigan Technological.
1 Short Term Scheduling. 2  Planning horizon is short  Multiple unique jobs (tasks) with varying processing times and due dates  Multiple unique jobs.
Resource Mapping and Scheduling for Heterogeneous Network Processor Systems Liang Yang, Tushar Gohad, Pavel Ghosh, Devesh Sinha, Arunabha Sen and Andrea.
The 32nd IEEE Real-Time Systems Symposium Meeting End-to-End Deadlines through Distributed Local Deadline Assignment Shengyan Hong, Thidapat Chantem, X.
Hard Real-Time Scheduling for Low- Energy Using Stochastic Data and DVS Processors Flavius Gruian Department of Computer Science, Lund University Box 118.
Solving the Maximum Cardinality Bin Packing Problem with a Weight Annealing-Based Algorithm Kok-Hua Loh University of Maryland Bruce Golden University.
Dynamic Voltage Frequency Scaling for Multi-tasking Systems Using Online Learning Gaurav DhimanTajana Simunic Rosing Department of Computer Science and.
Real-Time Support for Mobile Robotics K. Ramamritham (+ Li Huan, Prashant Shenoy, Rod Grupen)
1 Iterative Integer Programming Formulation for Robust Resource Allocation in Dynamic Real-Time Systems Sethavidh Gertphol and Viktor K. Prasanna University.
ECE555 Topic Presentation Energy-efficient real-time scheduling Xing Fu 20 September 2008 Acknowledge Dr. Jian-Jia Chen from ETH providing PPT Slides for.
Rounding scheme if r * j  1 then r j := 1  When the number of processors assigned in the continuous solution is between 0 and 1 for each task, the speed.
Multimedia Computing and Networking Jan Reduced Energy Decoding of MPEG Streams Malena Mesarina, HP Labs/UCLA CS Dept Yoshio Turner, HP Labs.
Yifan Zhu, Frank Mueller North Carolina State University Center for Efficient, Secure and Reliable Computing DVSleak: Combining Leakage Reduction and Voltage.
Workload Clustering for Increasing Energy Savings on Embedded MPSoCs S. H. K. Narayanan, O. Ozturk, M. Kandemir, M. Karakoy.
A Fully Polynomial Time Approximation Scheme for Timing Driven Minimum Cost Buffer Insertion Shiyan Hu*, Zhuo Li**, Charles Alpert** *Dept of Electrical.
Balanced Billing Cycles and Vehicle Routing of Meter Readers by Chris Groër, Bruce Golden, Edward Wasil University of Maryland, College Park American University,
Determining Optimal Processor Speeds for Periodic Real-Time Tasks with Different Power Characteristics H. Aydın, R. Melhem, D. Mossé, P.M. Alvarez University.
1 Chapter 5 Branch-and-bound Framework and Its Applications.
Rakesh Kumar Keith Farkas Norman P Jouppi,Partha Ranganathan,Dean M.Tullsen University of California, San Diego MICRO 2003 Speaker : Chun-Chung Chen Single-ISA.
Unified Adaptivity Optimization of Clock and Logic Signals Shiyan Hu and Jiang Hu Dept of Electrical and Computer Engineering Texas A&M University.
Memory Segmentation to Exploit Sleep Mode Operation
Data Driven Resource Allocation for Distributed Learning
Jacob R. Lorch Microsoft Research
Wayne Wolf Dept. of EE Princeton University
Jian-Jia Chen and Tei-Wei Kuo
Processes and operating systems
Presentation transcript:

System-Wide Energy Minimization for Real-Time Tasks: Lower Bound and Approximation Xiliang Zhong and Cheng-Zhong Xu Dept. of Electrical & Computer Engg. Wayne State University Detroit, Michigan

2 Outline Introduction Processor and system energy model Related Work System-Wide Energy Optimization for periodic tasks The optimal algorithm A fully polynomial time approximation scheme Performance Evaluation System-Wide Energy Optimization for sporadic Tasks Solution and evaluation Conclusions

3 Introduction Mobile/Embedded devices are power critical, with limited battery capacity Software assisted power management Dynamic power management (DPM) Resource shutdown after a timeout Dynamic voltage/frequency scaling (DVS) Processing speed designed for peak performance Slowdown the processor voltage / speed when not fully utilized

4 Dynamic voltage scaling (DVS) The dynamic CPU power is, P ∝ v 2 f Reducing v also reduce the maximum processors frequency Approximately, energy per cycle ∝ f 2 Processor slowdown leads to super-linear energy savings, while linear execution time increase Energy per cycle of PXA processor

5 System-Wide Energy Processor also has leakage power Applications may use other components such as memory and peripheral devices Can be in active, standby, sleep, and shutdown states System-wide energy consumed in running a task CPU, resource standby and active energy Lowering CPU frequency can increase overall energy expenditure due to prolonged resource standby time of other components

6 System-Wide Energy (cont.) critical speed, the speed with minimum energy per cycle Not energy efficient using lower speed Execute a task at speed no lower than its critical speed, then put the devices into low power state A combined use of slowdown and shutdown Energy per cycle of PXA processor with different standby power

7 Related Work CPU energy minimization for periodic tasks: Heuristics [Mejia-Alvarez ’ 04], approximations [Chen and Kuo ’ 05] Few studies on system-wide energy minimization Applications w/o deadlines Subject to a performance loss [Choi et al. ’ 04] Real-time periodic tasks on CPU w/ continuous speed levels Heuristics [Zhuo and Chakrabarti ’ 05] Real-time periodic tasks on CPU w/ discrete speed levels Heuristics [Jejurikar and Gupta ’ 04] This work Pseudo-polynomial algorithm for optimal solutions and polynomial approximated schemes Applicable to both offline periodic tasks and online sporadic tasks in processors with practical discrete levels

8 System-wide energy optimization Periodic Tasks (Offline) : worst case execution time under max speed : task period and deadline : normalized speed of task Sporadic Tasks (Online) Task releases have irregular intervals Online scheduling based on uncompleted tasks, no assumption about future task releases The objective is to minimize overall energy consumption including CPU and all other system components while meeting deadline constraints of all the tasks

9 Energy Minimization for Periodic Tasks Minimization of energy consumption for n periodic tasks in a hyper-period, Feasible constraint under EDF Boundary constraint Practical processors with discrete speed levels The minimization is an NP-hard Multiple Choice KnapSack (MCKP) problem There exist pseudo-polynomial solutions to MCKP with integer coefficients, not applicable in this problem

10 An Example Basic idea: first solve subprobs with fewer #tasks A system with an PXA processor with 5 normalized speed [ ] System with memory, flash, and WNIC An example real-time workload w/ 4 periodic tasks TaskExecution time PeriodUtilizationRequired resources Critical speed cpu cpu,memory cpu,mem,flash cpu,mem, WNIC0.6

11 Solution to task 1 Task 1, execution time 6.4; deadline 16; utilization 0.4 Branch on four normalized speeds [ ] f: pruned by feasibility condtion e: pruned by energy condition (utilization, energy) State pruning Feasibility condition: The 1 st node at speed 0.4 removed with utilization already 1 Energy condition Task 1 at the smallest speed (2 nd, 0.6); tasks 2-4 at the max. Total Energy=7.6 (upper bound) Task 1 at 3 rd or 4 th speed (0.8 or 1); tasks 2-4 at the min. The required energy exceeds 7.6. The two states can be removed

12 Solution to the first three tasks pairs of (utilization, energy) f: pruned by feasibility condtion e: pruned by energy condition d: pruned by dominance Dominance condition The states (0.867, 9.107) and (0.87, 9.4) of task 3 First one leads to smaller utilization Any feasible schedule by the second can also be satisfied by the first First one uses less energy; the second can be removed

(utilization, energy) f: pruned by feasibility condtion e: pruned by energy condition d: pruned by dominance optimal state Maximum state number reduced to 6/4*4*3*3 = 0.4 %

14 A fully polynomial approximation scheme (FPTAS) State # is pseudo-polynomial in task number. can be reduced by providing approximated solutions Approximated with worst case perf. guarantee An algorithm is said to be an approximation scheme if for a given in (0,1), we have A more desirable approximation scheme (FPTAS) has a polynomial running time in both the number of tasks and the performance ratio

15 A fully polynomial approximation scheme (cont.) Divide the energy values into a number of groups each of size r, Each value scaled and rounded to Energy values in the same group are treated equally Find the group size r, subject to a given performance bound Energy value of each task introduces an error no larger than group size r Accumulated errors of n tasks no larger than n*r A lower bound of E* is when all tasks run at their critical speeds (E min ), i.e., E*≥ E min Solving derives group size r

16 Performance Evaluation Simulation Settings A system with an PXA processor memory: standby power 0.2W, standby time 20%~60% of task execution flash drive: 0.4W and 10%~25% wireless interface: 1W and 5%~20% Periodic Tasks Randomly generated deadlines w/ utilization from 0.1~1 Each task randomly chooses a subset of resources Algorithms implemented CPU-DVS, speed control for CPU energy consumption CS-DVS, a heuristic algorithm for system-wide energy savings [Jejurikar and Gupta ISLPED2004], OPT-P, the proposed optimal solution Approximated scheme with perf. bounds 0.01, 0.1, 0.5

17 Performance Evaluation (Periodic tasks) Energy consumption up to 16% more efficient than CS-DVS 16% 23% 8% Proposed algorithms 23% less energy than CPU-only solutions Approximation algorithms effectively bound the performance errors

18 Energy Minimization for Sporadic Tasks Online energy minimization for all uncompleted tasks n feasible constraints under EDF boundary constraint On a processor with discrete speed levels Prove the problem is an instance of Multi- dimensional MCKP (NP-hard in the strong sense, any optimal solution has exponential running time)

19 Consider three tasks released at time 0 with deadlines 3, 5, 7 Feasibility of a task (e.g. J 2 ) is not affected by tasks finished later (tasks in a non-decreasing order of deadlines) Satisfy one constraint (e.g. J 3 ) at each iteration Can be solved by a pseudo-polynomial algorithm for the optimal solution and an approximation scheme (FPTAS) Sporadic Tasks (cont.)

20 Performance Evaluation (Sporadic tasks) Experimental Settings Varied number of tasks Task inter-release times generated by an exponential dist. Algorithms implemented TV-DVS, adaptive speed scaling for CPU energy consumption on processors w/ continuous levels [Zhong and Xu RTSS2005] DVSST, CPU energy consumption with only frequency scaling available (continuous levels) [Qadi et al. RTSS2003] OPT-S, the proposed optimal solution 0.1, 0.5-approximation, approximated solutions with different performance settings

21 Energy consumption (Sporadic tasks) Large task number: 23% more efficient 56% 23% Small task number: Energy consumption up to 56% more efficient than TVDVS and DVSST

22 Conclusion System-wide energy minimization for periodic tasks pseudo-polynomial algorithm for the optimal solution approximated solution in moderate running time with bounded performance degradation (FPTAS) Minimization for online sporadic tasks Pseudo-polynomial algorithm and an FPTAS by exploiting inherent properties of online task scheduling On-going work Implementation of the policies in an embedded system with PXA270 processor Energy/Time overhead voltage and speed switches; overhead in putting a resource into low power state

23 Thank you! System-Wide Energy Minimization for Real-Time Tasks: Lower Bound and Approximation

24 Algorithm running time Running time measured in a Pentium 4 machine with 2 GHz processor OPT-P has a higher complexity than CS- DVS Below 90 ms for systems with up to 50 tasks All approximation algorithms require no more than 0.4 s to finish Algorithm running time for schedules in a 10-minutes run OPT-S has higher running time, but <1% task execution time Comparable time for approximation algorithms with TV-DVS