PRACTICAL DYNAMIC THERMAL MANAGEMENT ON INTEL DESKTOP COMPUTER Guanglei Liu Department of Electrical and Computer Engineering Florida International University.

Slides:



Advertisements
Similar presentations
International Symposium on Low Power Electronics and Design Qing Xie, Mohammad Javad Dousti, and Massoud Pedram University of Southern California ISLPED.
Advertisements

Computer Structure Power Management Lihu Rappoport and Adi Yoaz Thanks to Efi Rotem for many of the foils.
Performance, Energy and Thermal Considerations of SMT and CMP architectures Yingmin Li, David Brooks, Zhigang Hu, Kevin Skadron Dept. of Computer Science,
CML CML Presented by: Aseem Gupta, UCI Deepa Kannan, Aviral Shrivastava, Sarvesh Bhardwaj, and Sarma Vrudhula Compiler and Microarchitecture Lab Department.
Techniques for Multicore Thermal Management Field Cady, Bin Fu and Kai Ren.
Keeping Hot Chips Cool Thermal Management for Green Computing Yang Ge Professor Qinru Qiu.
Yefu Wang and Kai Ma. Project Goals and Assumptions Control power consumption of multi-core CPU by CPU frequency scaling Assumptions: Each core can be.
Performance and Energy Bounds for Multimedia Applications on Dual-processor Power-aware SoC Platforms Weng-Fai WONG 黄荣辉 Dept. of Computer Science National.
Nima Aghaee, Zebo Peng, and Petru Eles Embedded Systems Laboratory (ESLAB) Linkoping University Process-Variation and Temperature Aware SoC Test Scheduling.
8/18/05ELEC / Lecture 11 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Analytical Thermal Placement for VLSI Lifetime Improvement and Minimum Performance Variation Andrew B. Kahng †, Sung-Mo Kang ‡, Wei Li ‡, Bao Liu † † UC.
Temperature-Aware Design Presented by Mehul Shah 4/29/04.
Power-Aware Computing 101 CS 771 – Optimizing Compilers Fall 2005 – Lecture 22.
Processor Frequency Setting for Energy Minimization of Streaming Multimedia Application by A. Acquaviva, L. Benini, and B. Riccò, in Proc. 9th Internation.
ECE 510 Brendan Crowley Paper Review October 31, 2006.
Energy, Energy, Energy  Worldwide efforts to reduce energy consumption  People can conserve. Large percentage savings possible, but each individual has.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
1 Copyright © 2012, Elsevier Inc. All rights reserved. Chapter 1 Fundamentals of Quantitative Design and Analysis Computer Architecture A Quantitative.
University of Karlsruhe, System Architecture Group Balancing Power Consumption in Multiprocessor Systems Andreas Merkel Frank Bellosa System Architecture.
Thermal Aware Resource Management Framework Xi He, Gregor von Laszewski, Lizhe Wang Golisano College of Computing and Information Sciences Rochester Institute.
Networking Virtualization Using FPGAs Russell Tessier, Deepak Unnikrishnan, Dong Yin, and Lixin Gao Reconfigurable Computing Group Department of Electrical.
Power-Aware SoC Test Optimization through Dynamic Voltage and Frequency Scaling Vijay Sheshadri, Vishwani D. Agrawal, Prathima Agrawal Dept. of Electrical.
Green IT and Data Centers Darshan R. Kapadia Gregor von Laszewski 1.
XI HE Computing and Information Science Rochester Institute of Technology Rochester, NY USA Rochester Institute of Technology Service.
Chalmers University of Technology FlexSoC Seminar Series – Page 1 Power Estimation FlexSoc Seminar Series – Daniel Eckerbert
Baoxian Zhao Hakan Aydin Dakai Zhu Computer Science Department Computer Science Department George Mason University University of Texas at San Antonio DAC.
Sensor-Based Fast Thermal Evaluation Model For Energy Efficient High-Performance Datacenters Q. Tang, T. Mukherjee, Sandeep K. S. Gupta Department of Computer.
Lecture 03: Fundamentals of Computer Design - Trends and Performance Kai Bu
Computer Science Department University of Pittsburgh 1 Evaluating a DVS Scheme for Real-Time Embedded Systems Ruibin Xu, Daniel Mossé and Rami Melhem.
Last Time Performance Analysis It’s all relative
Low Power Design for Real-Time Systems Low power (energy) consumption is a key design for embedded systems Battery’s life during operation Reliability.
An Efficient Algorithm for Dual-Voltage Design Without Need for Level-Conversion SSST 2012 Mridula Allani Intel Corporation, Austin, TX (Formerly.
Jia Yao and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University Auburn, AL 36830, USA Dual-Threshold Design of Sub-Threshold.
System-level, Unified In-band and Out-of-band Dynamic Thermal Control Dong LiVirginia Tech Rong GeMarquette University Kirk CameronVirginia Tech.
C OMPUTER O RGANIZATION AND D ESIGN The Hardware/Software Interface 5 th Edition Chapter 1 Computer Abstractions and Technology Sections 1.5 – 1.11.
Summer Report Xi He Golisano College of Computing and Information Sciences Rochester Institute of Technology Rochester, NY
1 Distributed Energy-Efficient Scheduling for Data-Intensive Applications with Deadline Constraints on Data Grids Cong Liu and Xiao Qin Auburn University.
1 Some Limits of Power Delivery in the Multicore Era Runjie Zhang, Brett H. Meyer, Wei Huang, Kevin Skadron and Mircea R. Stan University of Virginia,
Power-Aware Scheduling of Virtual Machines in DVFS-enabled Clusters
Thermal-aware Issues in Computers IMPACT Lab. Part A Overview of Thermal-related Technologies.
Dynamic Voltage Frequency Scaling for Multi-tasking Systems Using Online Learning Gaurav DhimanTajana Simunic Rosing Department of Computer Science and.
Software Architecture for Dynamic Thermal Management in Datacenters Tridib Mukherjee Graduate Research Assistant IMPACT Lab ( Department.
An Energy-efficient Task Scheduler for Multi-core Platforms with per-core DVFS Based on Task Characteristics Ching-Chi Lin Institute of Information Science,
Green Computing Metrics: Power, Temperature, CO2, … Computing system: Many-cores, Clusters, Grids and Clouds Algorithm and model: task scheduling, CFD.
Rabi Mahapatra Department of Computer Science & Engineering Texas A&M University.
DTM and Reliability High temperature greatly degrades reliability
Thermal-aware Phase-based Tuning of Embedded Systems + Also Affiliated with NSF Center for High- Performance Reconfigurable Computing This work was supported.
XI HE Computing and Information Science Rochester Institute of Technology Rochester, NY USA Rochester Institute of Technology Service.
Thermal-aware Task Placement in Data Centers Qinghui Tang Sandeep K S Gupta Georgios Varsamopoulos IMPACT Lab Arizona State University.
ECE555 Topic Presentation Energy-efficient real-time scheduling Xing Fu 20 September 2008 Acknowledge Dr. Jian-Jia Chen from ETH providing PPT Slides for.
 GPU Power Model Nandhini Sudarsanan Nathan Vanderby Neeraj Mishra Usha Vinodh
Patricia Gonzalez Divya Akella VLSI Class Project.
Computer Science and Engineering Power-Performance Considerations of Parallel Computing on Chip Multiprocessors Jian Li and Jose F. Martinez ACM Transactions.
Xi He Golisano College of Computing and Information Sciences Rochester Institute of Technology Rochester, NY THERMAL-AWARE RESOURCE.
Compiler-Directed Power Density Reduction in NoC-Based Multi-Core Designs Sri Hari Krishna Narayanan, Mahmut Kandemir, Ozcan Ozturk Embedded Mobile Computing.
CS203 – Advanced Computer Architecture
1 Hardware Reliability Margining for the Dark Silicon Era Liangzhen Lai and Puneet Gupta Department of Electrical Engineering University of California,
Hang Zhang1, Xuhao Chen1, Nong Xiao1,2, Fang Liu1
Thermal-aware Task Placement in Data Centers (part 4)
Morgan Kaufmann Publishers
System Control based Renewable Energy Resources in Smart Grid Consumer
Temperature aware architecture of 14nm Broadwell chip-sets
Intel Atom Architecture – Next Generation Computing
Circuit Design Techniques for Low Power DSPs
Department of Electrical Engineering Joint work with Jiong Luo
The University of Adelaide, School of Computer Science
The University of Adelaide, School of Computer Science
Utsunomiya University
Presentation transcript:

PRACTICAL DYNAMIC THERMAL MANAGEMENT ON INTEL DESKTOP COMPUTER Guanglei Liu Department of Electrical and Computer Engineering Florida International University July 12, 2012 Major Professor: Dr. Gang Quan

Thermal Design Challenges Figure from Intel Microprocessor Technology Lab, 2011 Number of transistors keeps increasing Nearly 40 billon transistors are integrated into single die [Mizunuma, 2009 ICCAD] More complicated architectures are built 80 core single chip processor has been demonstrated by Intel [Vangal, 2007 ISSCC] Environmental concerns In U.S, 46% of electricity is generated by fossil fuels. Electric Bill U.S. Datacenters: 120 billon kilowatt hours in billion dollar, 15% of all energy in U.S. High transistor density increases power density High power density brings up the on-chip temperatures and causes thermal issues Source: Environmental Protection Agency (EPA) Report

Thermal Issues Increase package/cooling costs 1-3 dollar per watt [Skadron, ICSA 2003] Data center, each watt on computing, ½ - 1 watt for cooling [Brill, 2007] Affect reliability As much as 50% reduction of device’s life span for every 10 o C increase [Yeo, DAC 2008] Degrade performance 10-15% more circuit delay for each 15 o C increase [Santarini, EDN 2005] Crush the computing system Processor’s self-protect mechanism automatically shuts down processor to avoid physical damage [Rohou, WFDO 1999] Increase Leakage power consumption Temperature from 65 o C to 110 o C can increase the leakage power by 38% for IC circuits.[Santarini, EDN 2005] Computing system cooling solutions Mechanical Cooling Solution Air-cooling (e.g. fan + heat sink) Cooling cost takes 51% of overall server power budget [Lefurgy, COM 2003] Noise level increases 10dB as fan speed increases by 50% [Lyon, STMMS 2004] Liquid-cooling High density liquid absorb 3500 times more heat than air [Chu, DMR 2004] High cooling cost Dynamic Thermal Management (DTM) Dynamic voltage and frequency scaling (DVFS) technique [Kim, HPCA 2008] Task migration [Lim QED 2002] Clock gating [Gunther, ITJ 2001] Fetch toggling [Brooks, HPCA 2001] Sacrifice system performance

Related Theoretical Work Our Research Goal : To develop up a practical hardware platform that enables us to investigate the limitations of the existing theoretical work, and develop practical and effective DTM techniques to accommodate those limitations Those theoretical work are derived based on simplified mathematical thermal models and idealized assumptions Thermal-aware throughput maximization [Chantem et al., ISLPED 2009] [Zhang et al., ICCAD 2007] [Chatha et al., DAC 2010] Peak temperature minimization [Chaturvedi et al., ASPDAC 2011] [Liu et al., RTAS 2010] [Qiu et al., ICESS 2010] Overall energy reduction under peak temperature constraints [Bao et al., DATE 2010] [Andrei et al., DAC 2009] [Huang et al., DATE 2011] Real-time guarantee under peak temperature constraint [Chaturvedi et al., CIT 2010] [Wang et al., RTS 2006] [Huang et al., RTSS 2009]

Thermal management validation [SUSCOM 2012] DTM techniques VS air-cooling DTM vs DPM algorithm Fundamental DTM principles validation Reactive DTM Single-core Limitations of theoretical works Non-constant sampling period Thermal profiling analysis [GreenCom 2012] Major contributions Practical hardware platform Intel i5 Quad core Linux operating system [SouthEast 2011] Proactive DTM algorithm Multi-core [DATE 2012][ASP2012] Neighbor-aware temperature prediction Algorithm for multicore with task migration

Practical Hardware Platform CoreTemp driver Read on-chip thermal sensor Lm-sensors Tool Monitor system information Cpufreq module 12 different speed levels Fancontrol shell script Manually adjust fan speed Intel i5 quad core Temperature capturing SPEC Benchmark DVFS Technique Fan Speed Control Computing system hardware monitoring tool Temperature value Fan Speed Voltag e value Fan control DVFS technique Power measureme nt Task migration CPU_affinity module Migrate process between cores Dell Precision T1500 workstation Linux kernel version of SPEC CPU2000 Benchmark Integers and floating point operations Fluke current clamp, Multimeter Cooling/ CPU power consumption

Our Approach Enhanced reactive DTM (ERDTM) Build up a temperature vs. speed lookup table Run benchmarks with different speed levels Collect corresponding peak temperatures Offline thermal profiling analysis Buffer zone and safe region Buffer zone: Safe region: Time Temperature Safe region Buffer zone T safe T TURESHOLD is maximum possible temperature increment 4 o C

Experimental results Four identical tasks assigned to four cores to simulate single-core environment Temperature threshold is 55 o C Construct the lookup table offline Frequency lookup table Experiment setup FSDTM algorithmVS-DTM algorithm ERDTM algorithm Number of violations 87 Number of violations 12 Number of violations 0 DTM algorithm Performance evaluation ERDTM average throughput improvement is 8.1%

Neighbor-aware temperature prediction Our Neighbor-aware prediction where and are weights, which are obtained by collecting training data Obtained offline Individual increment factor Processor temperature increment Neighbor increment factor Heat transfer from neighbor processor Training process Apply least-square estimation Run the tasks and record temperature information

Neighbor-aware Task Migration Always migrate task from hottest core to the coolest core. Conventional approach: NADTM Algorithm Predict thermal emergency Migrate task DVFS technique Heat factor: to evaluate the processor hotness Increasing factor: to evaluate the temperature increment Our migration strategy choose the migration candidate with the minimum

Performance analysis Single task Multiple task  NADTM algorithm can effectively control the temperature under the threshold  It has a small temperature oscillation of 1 o C An average of 3.6% overall throughput improvement An average of 5.8% overall throughput improvement

Thank You for Your Attention ! Journals Peer Reviewed Conferences 1.Guanglei Liu, M. Fan, G. Quan, M. Qiu “On-Line Predictive Thermal Management under Peak Temperature Constraints for Practical Multi-core Platforms”, Journal of Low Power Electronics (ASP). (under review), Guanglei Liu, G. Quan, M. Qiu “Practical Dynamic Thermal Management on An Intel Desktop Computer ”, Embedded Software Design, Journal of Sustainable Computing (SUSCOM) (under review), H. Huang, V. Chaturvedi, Guanglei Liu, G. Quan, ”Leakage Aware Scheduling On Maximum Temperature Minimization For Periodic Hard Real-Time Systems”, Journal of Low Power Electronics (ASP), Guanglei Liu, M. Fan, G. Quan, “Neighbor-Aware Dynamic Thermal Management for Multi-core Platform”, The 15th Design, Automation, and Test in Europe (DATE 2012), Dresden, Germany, March 12-16, Guanglei Liu, G. Quan, M. Qiu, “The Practical On-line Scheduling for Throughput Maximization on Intel Desktop Platform under the Maximum Temperature Constraint“, The 2011 IEEE/ACM Green Computing and Communications (GreenCom 2011), Sichuan, China, August 4-5, Guanglei Liu, G. Quan, ”Thermal Aware Scheduling on an Intel Desktop Computer,” IEEE SouthEast Conference (SouthEast 2011), Nashville, Tennessee, March 17-20, Guanglei Liu, J. Fan, “Framework for Statistical Analysis of Homogeneous Multi- core Power Grid Networks“, IEEE 8th International Conference on ASIC (ASICON 2009), Changsha, China, October 20-23, C. Liu, J. Tan, R. Chen, Guanglei Liu, J. Fan, “Thermal Aware Clocktree Optimization in Nanometer VLSI Systems Considering Temperature Variations“, IEEE 40th Southeastern Symposium on System Theory (SSST 2008), New Orleans, LA, March 17-18, 2008.