Is Your Layout Density Verification Exact ? Hua Xiang *, Kai-Yuan Chao ‡, Ruchir Puri * and D.F. Wong + * IBM T.J. Watson Research Center + Univ. of Illinois.

Slides:



Advertisements
Similar presentations
Linear Time Algorithm to Find All Relocation Positions for EUV Defect Mitigation Yuelin Du, Hongbo Zhang, Qiang Ma and Martin D. F. Wong ASPDAC13.
Advertisements

Boyce/DiPrima 9th ed, Ch 2.8: The Existence and Uniqueness Theorem Elementary Differential Equations and Boundary Value Problems, 9th edition, by William.
Efficient Process-Hotspot Detection Using Range Pattern Matching in Routing Stage Hailong Yao 1 Subarna Sinha 2 Charles Chiang 2 Xianlong Hong 1 Yici Cai.
An Introduction to Channel Routing
Efficient access to TIN Regular square grid TIN Efficient access to TIN Let q := (x, y) be a point. We want to estimate an elevation at a point q: 1. should.
Transportation Problem (TP) and Assignment Problem (AP)
Hsi-An Chien Ting-Chi Wang Redundant-Via-Aware ECO Routing ASPDAC2014.
1 Partition Into Triangles on Bounded Degree Graphs Johan M. M. van Rooij Marcel E. van Kooten Niekerk Hans L. Bodlaender.
Approximation Algorithms Chapter 5: k-center. Overview n Main issue: Parametric pruning –Technique for approximation algorithms n 2-approx. algorithm.
1 Efficient Subgraph Search over Large Uncertain Graphs Ye Yuan 1, Guoren Wang 1, Haixun Wang 2, Lei Chen 3 1. Northeastern University, China 2. Microsoft.
12.1 Systems of Linear Equations: Substitution and Elimination.
Rajat K. Pal. Chapter 3 Emran Chowdhury # P Presented by.
Dual Graph-Based Hot Spot Detection Andrew B. Kahng 1 Chul-Hong Park 2 Xu Xu 1 (1) Blaze DFM, Inc. (2) ECE, University of California at San Diego.
Totally Unimodular Matrices Lecture 11: Feb 23 Simplex Algorithm Elliposid Algorithm.
Approximation Algorithms
Practical Iterated Fill Synthesis for CMP Uniformity Supported by Cadence Design Systems, Inc. Y. Chen, A. B. Kahng, G. Robins, A. Zelikovsky (UCLA, UVA.
DPIMM-03 1 Performance-Impact Limited Area Fill Synthesis Yu Chen, Puneet Gupta, Andrew B. Kahng (UCLA, UCSD) Supported by Cadence.
1 An Empirical Study on Large-Scale Content-Based Image Retrieval Group Meeting Presented by Wyman
Sambuddha Bhattacharya Subramanian Rajagopalan Shabbir H. Batterywala Fixing Double Patterning Violations With Look-Ahead ASD-DAC’14.
Triple Patterning Aware Detailed Placement With Constrained Pattern Assignment Haitong Tian, Yuelin Du, Hongbo Zhang, Zigang Xiao, Martin D.F. Wong.
Constrained Pattern Assignment for Standard Cell Based Triple Patterning Lithography H. Tian, Y. Du, H. Zhang, Z. Xiao, M. D.F. Wong Department of ECE,
Optimally Minimizing Overlay Violation in Self-aligned Double Patterning Decomposition for Row-based Standard Cell Layout in Polynomial Time Z. Xiao, Y.
Hsiu-Yu Lai Ting-Chi Wang A TPL-Friendly Legalizer for Standard Cell Based Design SASIMI ‘15.
UC San Diego Computer Engineering. VLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD.
2D Rectangular Packing with LFF and LFF/T Presented by Y. T. Wu.
Abstract A new Open Artwork System Interchange Standard (OASIS) has been recently proposed for replacing the GDSII format. A primary objective of the new.
Theorems on continuous functions. Weierstrass’ theorem Let f(x) be a continuous function over a closed bounded interval [a,b] Then f(x) has at least one.
Fast Subsequence Matching in Time-Series Databases Christos Faloutsos M. Ranganathan Yannis Manolopoulos Department of Computer Science and ISR University.
Accurate Process-Hotspot Detection Using Critical Design Rule Extraction Y. Yu, Y. Chan, S. Sinha, I. H. Jiang and C. Chiang Dept. of EE, NCTU, Hsinchu,
Slide Slide 1 Chapter 6 Normal Probability Distributions 6-1 Overview 6-2 The Standard Normal Distribution 6-3 Applications of Normal Distributions 6-4.
Areas Under Any Normal Curve
Hongbo Zhang, Yuelin Du, Martin D.F. Wong, Yunfei Deng, Pawitter Mangat Synopsys Inc., USA Dept. of ECE, Univ. of Illinois at Urbana-Champaign GlobalFoundries.
1 The student will learn about: §4.4 Definite Integrals and Areas. the fundamental theorem of calculus, and the history of integral calculus, some applications.
Rensselaer Polytechnic Institute Rajagopal Iyengar Combinatorial Approaches to QoS Scheduling in Multichannel Wireless Systems Rajagopal Iyengar Rensselaer.
Archer: A History-Driven Global Routing Algorithm Mustafa Ozdal Intel Corporation Martin D. F. Wong Univ. of Illinois at Urbana-Champaign Mustafa Ozdal.
Bus-Driven Floorplanning Hua Xiang*, Xiaoping Tang +, Martin D. F. Wong* * Univ. Of Illinois at Urbana-Champaign + Cadence Design Systems Inc.
NTUEE 1 Coupling-Constrained Dummy Fill for Density Gradient Minimization Huang-Yu Chen 1, Szu-Jui Chou 2, and Yao-Wen Chang 1 1 National Taiwan University,
The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization Jia Wang, Shiyan Hu Department of Electrical and Computer Engineering.
Closing the Smoothness and Uniformity Gap in Area Fill Synthesis Supported by Cadence Design Systems, Inc., NSF, the Packard Foundation, and State of Georgia’s.
 Chemical-Mechanical Polishing (CMP)  Rotating pad polishes each layer on wafers to achieve planarized surfaces  Uneven features cause polishing pad.
The Application of The Improved Hybrid Ant Colony Algorithm in Vehicle Routing Optimization Problem International Conference on Future Computer and Communication,
Tao Lin Chris Chu TPL-Aware Displacement- driven Detailed Placement Refinement with Coloring Constraints ISPD ‘15.
Dept. of Electrical and Computer Engineering The University of Texas at Austin E-Beam Lothography Stencil Planning and Optimization wit Overlapped Characters.
1 Efficient Obstacle-Avoiding Rectilinear Steiner Tree Construction Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang National Taiwan.
Configurable Multi-product Floorplanning Qiang Ma, Martin D.F. Wong, Kai-Yuan Chao ASP-DAC 2010.
Self-Aligned Double Patterning Decomposition for Overlay Minimization and Hot Spot Detection H. Zhang, Y. Du, M. D.F. Wong, R. Topaloglu Dept. of ECE,
LP Narrowing: A New Strategy for Finding All Solutions of Nonlinear Equations Kiyotaka Yamamura Naoya Tamura Koki Suda Chuo University, Tokyo, Japan.
Peng Du, Wenbo Zhao, Shih-Hung Weng, Chung-Kuan Cheng, Ronald Graham CSE Dept., University of California, San Diego, CA Character Design and Stamp Algorithms.
An Efficient Linear Time Triple Patterning Solver Haitong Tian Hongbo Zhang Zigang Xiao Martin D.F. Wong ASP-DAC’15.
Radhamanjari Samanta *, Soumyendu Raha * and Adil I. Erzin # * Supercomputer Education and Research Centre, Indian Institute of Science, Bangalore, India.
Non-stitch Triple Patterning- Aware Routing Based on Conflict Graph Pre-coloring Po-Ya Hsu Yao-Wen Chang.
Layout Small-Angle Rotation and Shift for EUV Defect Mitigation
Mining Top-K Large Structural Patterns in a Massive Network Feida Zhu 1, Qiang Qu 2, David Lo 1, Xifeng Yan 3, Jiawei Han 4, and Philip S. Yu 5 1 Singapore.
Yen-Ting Yu Iris Hui-Ru Jiang Yumin Zhang Charles Chiang DRC-Based Hotspot Detection Considering Edge Tolerance and Incomplete Specification ICCAD’14.
Solving Systems of Equations by Graphing
1 NTUplace: A Partitioning Based Placement Algorithm for Large-Scale Designs Tung-Chieh Chen 1, Tien-Chang Hsu 1, Zhe-Wei Jiang 1, and Yao-Wen Chang 1,2.
Warm-upWarm-up Sketch the region bounded by the system of inequalities: 1) 2) Sketch the region bounded by the system of inequalities: 1) 2)
NanoCAD Lab UCLA Effective Model-Based Mask Fracturing Heuristic Abde Ali Kagalwalla and Puneet Gupta NanoCAD Lab Department of Electrical Engineering,
3.4 Linear Programming Objective:
1 Power Efficient Monitoring Management in Sensor Networks A.Zelikovsky Georgia State joint work with P. BermanPennstate G. Calinescu Illinois IT C. Shah.
Character Design and Stamp Algorithms for Character Projection Electron-Beam Lithography P. Du, W. Zhao, S.H. Weng, C.K. Cheng, and R. Graham UC San Diego.
The Early Days of Automatic Floorplan Design
1 Double-Patterning Aware DSA Template Guided Cut Redistribution for Advanced 1-D Gridded Designs Zhi-Wen Lin and Yao-Wen Chang National Taiwan University.
Chapter 3: Polynomial Functions
Power Efficient Monitoring Management In Sensor Networks
Enumerating Distances Using Spanners of Bounded Degree
Sheqin Dong, Song Chen, Xianlong Hong EDA Lab., Tsinghua Univ. Beijing
Problem Solving 4.
Communication Driven Remapping of Processing Element (PE) in Fault-tolerant NoC-based MPSoCs Chia-Ling Chen, Yen-Hao Chen and TingTing Hwang Department.
Under a Concurrent and Hierarchical Scheme
Presentation transcript:

Is Your Layout Density Verification Exact ? Hua Xiang *, Kai-Yuan Chao ‡, Ruchir Puri * and D.F. Wong + * IBM T.J. Watson Research Center + Univ. of Illinois at Urbana-Champaign ‡ Intel Corporation

Density Calculation Density Calculation is a fundamental operation in deep-submicron chip designs. Density Control Find the max/min density window in a given layout Several manufacturing processes (CMP, etch, CD, lithography etc.) are sensitive to pattern density. Density check Foundries have density range requirements. Density rules are associated with many process layers Dummy fills / slotting are based on density calculation. Existing Methods: Exact density calculation  Running time is very long (days) Approximate algorithm  No exact solution Fix-dissection approach

Fix-Dissection Approach  Total windows: (M-W+1)x(N-W+1)  Sliding windows: [(M-W)/R+1]x[(N-W)/R+1] e.g. M=N=1mm/10nm=10 5, W=20um/10nm=2000 R=W/4=500 Total windows ≈ 9.6x10 9 Sliding windows ≈ 3.88x10 4 Lemma: If R is larger than the minimum feature size, fix-dissection approach cannot guarantee to solve the density problem exactly.

Density Bound Theorems Theorem 1: Any window Win can be fully covered by four sliding windows, and its density d satisfies where D is the max/min density of the four sliding windows.

Density Bound Theorems Theorem 2 For any given region, there exists a maximum density window whose two adjacent edges overlap with two rectangle edges, and the overlapped window edges and rectangle edges are in the same direction. H1H1 H2H2 H3H3 H 1 +H 2 =H 3 s s

Density Bound Theorems Theorem 3 For any given region, there exists a minimum density window whose two adjacent edges overlap with two rectangle edges, and the overlapped window edges and rectangle edges are in the different direction.

Theorem Extension A layout with rectangular and overlap shapes can be converted to a layout only with rectangles. All theorems can be applied on the converted layout.

Density Calculation Algorithm Main Ideas Start from fix-dissection. Let d be the max density of this iteration. Prune regions based on Theorem 1 For selected regions, call detail_density with finer grids When the region size is small enough, call exact_density which is based on Theorems 2 d  R/W – (R/2W) 2 + max {d 1,d 2,d 3,d 4 } ? d1d1 d2d2 d3d3 d4d4

Detail_Density Region Properties  Region Size L = W + B  All windows share the center (W-B)x(W-B) area  The left bottom corner of any window falls in the pink region  The number of sliding windows is (k+1) 2, where k=B/R

Exact_Density The grid is set up based on rectangle edges. Only the left rectangles within the left column are considered. Similarly for other directions. The density of the center (W-B)x(W-B) area is obtained from previous iterations.

Experimental Results Implemented in C on a linux workstation (2.3GHz) Test cases are derived from industry designs Compared with two algorithms ALG3 is an exact algorithm. Jobs were killed when the running time was longer than 24 hour Our algorithm reduces the running time from hours/days to secs/mins MDA is an approximate algorithm. Our algorithm can report exact max/min density numbers; while the running time is equivalent or even shorter. TestcaseLayout Area (um 2 )#rectangles Test1576x ,967 Test2576x ,799 Test3512x ,828 Test41248x ,412 Test5512x5121,375,605 Test6992x9923,106,559 Test7992x9924,632,445 Test8992x9925,033,242 Test91216x12165,287,136 Test10992x9925,583,589

Experimental Results (Cont) TestAlg3MDA (err ≤ 2%)Our Algorithm Max DensCUP (s)Max DensCPU (s)Max DensCPU (s) Test157.54% % %2 Test242.83% % %4 Test328.99%51h30m29.32% %42 Test484.48% % %3 Test5-> 24h19.61% %110 Test6-> 24h56.33% %39 Test7-> 24h47.95% %195 Test8-> 24h26.93% %73 Test9-> 24h86.88% %15 Test10-> 24h39.30% %74 Test Results with a window size 32um

Experimental Results (Cont) TestAlg3MDA (err ≤ 2%)Our Algorithm Max DensCUP (s)Max DensCPU (s)Max DensCPU (s) Test167.23% % %1 Test247.40% % %3 Test329.82% % %32 Test484.42% % %5 Test5-> 24h21.05% %88 Test6-> 24h58.62% %28 Test7-> 24h50.82% %96 Test8-> 24h28.49% %64 Test9-> 24h88.24% %15 Test10-> 24h43.51% %46 Test Results with a window size 24um

Conclusion Density calculation is a fundamental operation in many manufacturing processes. A fast and exact density algorithm is proposed to identify the maximum/minimum density window for a given layout. The algorithm fully utilize the density calculation results from previous iterations so that the running time can be greatly reduced. Compared with the existing exact algorithms, the running time is reduced from hours/days to seconds/minutes. The running time is equivalent to the existing approximate algorithms in literature.