An Analytical Model for Worst-case Reorder Buffer Size of Multi-path Minimal Routing NoCs Gaoming Du 1, Miao Li 1, Zhonghai Lu 2, Minglun Gao 1, Chunhua.

Slides:



Advertisements
Similar presentations
Capacity of wireless ad-hoc networks By Kumar Manvendra October 31,2002.
Advertisements

A Novel 3D Layer-Multiplexed On-Chip Network
Shi Bai, Weiyi Zhang, Guoliang Xue, Jian Tang, and Chonggang Wang University of Minnesota, AT&T Lab, Arizona State University, Syracuse University, NEC.
1 EP2210 Fairness Lecture material: –Bertsekas, Gallager, Data networks, 6.5 –L. Massoulie, J. Roberts, "Bandwidth sharing: objectives and algorithms,“
1 Analysis of Worst-case Delay Bounds for Best-effort Communication in Wormhole Networks on Chip Yue Qian 1, Zhonghai Lu 2, Wenhua Dou 1 1 School of Computer.
REAL-TIME COMMUNICATION ANALYSIS FOR NOCS WITH WORMHOLE SWITCHING Presented by Sina Gholamian, 1 09/11/2011.
Playback delay in p2p streaming systems with random packet forwarding Viktoria Fodor and Ilias Chatzidrossos Laboratory for Communication Networks School.
LOGO Video Packet Selection and Scheduling for Multipath Streaming IEEE TRANSACTIONS ON MULTIMEDIA, VOL. 9, NO. 3, APRIL 2007 Dan Jurca, Student Member,
Presenter : Cheng_Ta Wu Masoumeh Ebrahimi, Masoud Daneshtalab, N P Sreejesh, Pasi Liljeberg, Hannu Tenhunen Department of Information Technology, University.
Yu Stephanie Sun 1, Lei Xie 1, Qi Alfred Chen 2, Sanglu Lu 1, Daoxu Chen 1 1 State Key Laboratory for Novel Software Technology, Nanjing University, China.
Analytical Modeling and Evaluation of On- Chip Interconnects Using Network Calculus M. BAkhouya, S. Suboh, J. Gaber, T. El-Ghazawi NOCS 2009, May 10-13,
Recent Progress on a Statistical Network Calculus Jorg Liebeherr Department of Computer Science University of Virginia.
1 EL736 Communications Networks II: Design and Algorithms Class8: Networks with Shortest-Path Routing Yong Liu 10/31/2007.
Destination-Based Adaptive Routing for 2D Mesh Networks ANCS 2010 Rohit Sunkam Ramanujam Bill Lin Electrical and Computer Engineering University of California,
Reporter: Bo-Yi Shiu Date: 2011/05/27 Virtual Point-to-Point Connections for NoCs Mehdi Modarressi, Arash Tavakkol, and Hamid Sarbazi- Azad IEEE TRANSACTIONS.
Module R R RRR R RRRRR RR R R R R Efficient Link Capacity and QoS Design for Wormhole Network-on-Chip Zvika Guz, Isask ’ har Walter, Evgeny Bolotin, Israel.
Beneficial Caching in Mobile Ad Hoc Networks Bin Tang, Samir Das, Himanshu Gupta Computer Science Department Stony Brook University.
End-to-End Analysis of Distributed Video-on-Demand Systems Padmavathi Mundur, Robert Simon, and Arun K. Sood IEEE Transactions on Multimedia, February.
Multiple Sender Distributed Video Streaming Thinh Nguyen, Avideh Zakhor appears on “IEEE Transactions On Multimedia, vol. 6, no. 2, April, 2004”
End-to-End Analysis of Distributed Video-on-Demand Systems P. Mundur, R. Simon, and A. K. Sood IEEE Transactions on Multimedia, Vol. 6, No. 1, Feb 2004.
1 Link Division Multiplexing (LDM) for NoC Links IEEE 2006 LDM Link Division Multiplexing Arkadiy Morgenshtein, Avinoam Kolodny, Ran Ginosar Technion –
1 Lecture 24: Interconnection Networks Topics: communication latency, centralized and decentralized switches (Sections 8.1 – 8.5)
Architecture and Routing for NoC-based FPGA Israel Cidon* *joint work with Roman Gindin and Idit Keidar.
Rethinking Internet Traffic Management: From Multiple Decompositions to a Practical Protocol Jiayue He Princeton University Joint work with Martin Suchara,
Combining Multipath Routing and Congestion Control for Robustness Peter Key.
Efficient agent-based selection of DiffServ SLAs over MPLS networks Thanasis G. Papaioannou a,b, Stelios Sartzetakis a, and George D. Stamoulis a,b presented.
1 Indirect Adaptive Routing on Large Scale Interconnection Networks Nan Jiang, William J. Dally Computer System Laboratory Stanford University John Kim.
Enhancing TCP Fairness in Ad Hoc Wireless Networks Using Neighborhood RED Kaixin Xu, Mario Gerla University of California, Los Angeles {xkx,
An Application-Specific Design Methodology for STbus Crossbar Generation Author: Srinivasan Murali, Giovanni De Micheli Proceedings of the DATE’05,pp ,2005.
Power saving technique for multi-hop ad hoc wireless networks.
1 Near-Optimal Oblivious Routing for 3D-Mesh Networks ICCD 2008 Rohit Sunkam Ramanujam Bill Lin Electrical and Computer Engineering Department University.
1 Algorithms for Bandwidth Efficient Multicast Routing in Multi-channel Multi-radio Wireless Mesh Networks Hoang Lan Nguyen and Uyen Trang Nguyen Presenter:
Performance and Power Efficient On-Chip Communication Using Adaptive Virtual Point-to-Point Connections M. Modarressi, H. Sarbazi-Azad, and A. Tavakkol.
CoNA : Dynamic Application Mapping for Congestion Reduction in Many-Core Systems 2012 IEEE 30th International Conference on Computer Design (ICCD) M. Fattah,
1 Latency Equalization: A Programmable Routing Service Primitive Minlan Yu Joint work with Marina Thottan, Li Li at Bell Labs.
Switching, routing, and flow control in interconnection networks.
Path selection Packet scheduling and multipath Sebastian Siikavirta and Antti aalto.
ElasticTree: Saving Energy in Data Center Networks 許倫愷 2013/5/28.
Distributed Quality-of-Service Routing of Best Constrained Shortest Paths. Abdelhamid MELLOUK, Said HOCEINI, Farid BAGUENINE, Mustapha CHEURFA Computers.
1 Route Table Partitioning and Load Balancing for Parallel Searching with TCAMs Department of Computer Science and Information Engineering National Cheng.
IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS 2007 (TPDS 2007)
DaVinci: Dynamically Adaptive Virtual Networks for a Customized Internet Jennifer Rexford Princeton University With Jiayue He, Rui Zhang-Shen, Ying Li,
Joint Channel Assignment and Routing in Real Time Wireless Mesh Network Xiaoguang Li †, Changqiao Xu ‡ † College of Software Engineering, Southeast University,
Approximate Load Balance Based on ID/Locator Split Routing Architecture 1 Sanqi Zhou, Jia Chen, Hongbin Luo, Hongke Zhang Beijing JiaoTong University
Design and Evaluation of Hierarchical Rings with Deflection Routing Rachata Ausavarungnirun, Chris Fallin, Xiangyao Yu, ​ Kevin Chang, Greg Nazario, Reetuparna.
RELAX : An Energy Efficient Multipath Routing Protocol for Wireless Sensor Networks Bashir Yahya, Jalel Ben-Othman University of Versailles, France ICC.
O1TURN : Near-Optimal Worst-Case Throughput Routing for 2D-Mesh Networks DaeHo Seo, Akif Ali, WonTaek Lim Nauman Rafique, Mithuna Thottethodi School of.
Logical Topology Design
CS 8501 Networks-on-Chip (NoCs) Lukasz Szafaryn 15 FEB 10.
10/03/2005: 1 Physical Synthesis of Latency Aware Low Power NoC Through Topology Exploration and Wire Style Optimization CK Cheng CSE Department UC San.
Performance Evaluation of TCP over Multiple Paths in Fixed Robust Routing Wenjie Chen, Yukinobu Fukushima, Takashi Matsumura, Yuichi Nishida, and Tokumi.
Axel Jantsch 1 NOCARC Network on Chip Architecture KTH, VTT Nokia, Ericsson, Spirea TEKES, Vinnova.
MMAC: A Mobility- Adaptive, Collision-Free MAC Protocol for Wireless Sensor Networks Muneeb Ali, Tashfeen Suleman, and Zartash Afzal Uzmi IEEE Performance,
Performance, Cost, and Energy Evaluation of Fat H-Tree: A Cost-Efficient Tree-Based On-Chip Network Hiroki Matsutani (Keio Univ, JAPAN) Michihiro Koibuchi.
Yu Cai Ken Mai Onur Mutlu
Tufts Wireless Laboratory School Of Engineering Tufts University Paper Review “An Energy Efficient Multipath Routing Protocol for Wireless Sensor Networks”,
1 Slides by Yong Liu 1, Deep Medhi 2, and Michał Pióro 3 1 Polytechnic University, New York, USA 2 University of Missouri-Kansas City, USA 3 Warsaw University.
Jiaxin Cao, Rui Xia, Pengkun Yang, Chuanxiong Guo,
Incremental Run-time Application Mapping for Heterogeneous Network on Chip 2012 IEEE 14th International Conference on High Performance Computing and Communications.
A Comparison of RaDiO and CoDiO over IEEE WLANs May 25 th Jeonghun Noh Deepesh Jain A Comparison of RaDiO and CoDiO over IEEE WLANs.
HP Labs 1 IEEE Infocom 2003 End-to-End Congestion Control for InfiniBand Jose Renato Santos, Yoshio Turner, John Janakiraman HP Labs.
1 Traffic Engineering By Kavitha Ganapa. 2 Introduction Traffic engineering is concerned with the issue of performance evaluation and optimization of.
Courtesy Piggybacking: Supporting Differentiated Services in Multihop Mobile Ad Hoc Networks Wei LiuXiang Chen Yuguang Fang WING Dept. of ECE University.
A Low Interference Channel Assignment Algorithm for Wireless Mesh Networks Can Que 1,2, Xinming Zhang 1, and Shifang Dai 1 1.Department of Computer Science.
-1/16- Maximum Battery Life Routing to Support Ubiquitous Mobile Computing in Wireless Ad Hoc Networks C.-K. Toh, Georgia Institute of Technology IEEE.
1 Scalability and Accuracy in a Large-Scale Network Emulator Nov. 12, 2003 Byung-Gon Chun.
Architecture and Algorithms for an IEEE 802
A Study of Group-Tree Matching in Large Scale Group Communications
Pablo Abad, Pablo Prieto, Valentin Puente, Jose-Angel Gregorio
ISP and Egress Path Selection for Multihomed Networks
Presentation transcript:

An Analytical Model for Worst-case Reorder Buffer Size of Multi-path Minimal Routing NoCs Gaoming Du 1, Miao Li 1, Zhonghai Lu 2, Minglun Gao 1, Chunhua Wang 1 1 Hefei University of Technology, Anhui Province, China 2 KTH Royal Institute of Technology, Sweden

Outline 1 Motivation 2 Concepts 3 Method 4 Evaluation

Multi-path Routing NoC Prospects –Minimize network congestion and packet delay –Improve the load balance –Reduce power consumption –Fault tolerant routing Problem –Out of order P1 P2 P3 P4

disadvantage The area overhead. Low hardware utilization. With worst-case analysis, it can reduce the reorder buffer size with proper flow splitting configuration effectively. Out of Order [1] S. Murali, D. Atienza, L. Benini, and G. De Micheli, “A method for routing packets across multiple paths in NoCs with In-Order delivery and Fault- Tolerance gaurantees,” VLSI Design, vol. 2007, pp. 1–11, Solution 1: flow control –Prospects Easy to control Less hardware overhead –Side effect More congestion Longer packet delay Out of order packets Packet in need

Out of Order Solution 2: reorder buffer –Prospects Less on chip congestion Less re-arbitration time –Side effect Area overhead [11] M. Daneshtalab, M. Ebrahimi, P. Liljeberg, J. Plosila, and H. Tenhunen, “Memory- efficient on-chip network with adaptive interfaces,” Computer-Aided Design of ntegrated Circuits and Systems, IEEE Transactions on, vol. 31, no. 1, pp. 146–159, Out of order packets Packet in need

Reorder Buffer Size Traditional approaches –By experience –No formal method –Too pessimistic Our target –A general analytical model for worst-case reorder buffer size –A method to diminish the reorder buffer size Traffic splitting proportion

Outline 1 Motivation 2 Concepts 3 Method 4 Evaluation

NoC Architecture Assumption –Non-intersecting sub-flows –Sub-flow number: 2 –Delay bounds for sub-flows already known

Network Calculus Basics Results Assume: Linear arrival curve Latency-Rate (LR) server The delay bound is

Outline 1 Motivation 2 Concepts 3 Method 4 Evaluation

General Analysis S rb Size of reorder buffer D 1 Packet delay in path f 1 D 2 Packet delay in path f 2 △ t Packet injection interval Ideal case –No contention

Worst-case Reorder Buffer Size Definition 1

NC Model for Multi-path Routing Step 1 –Non-intersecting sub-flow identification –Traffic split proportion calculation

NC Model for Multi-path Routing Step 2 –Equivalent Service Curve (ESC) Calculation R: equivalent minimum service rate T: equivalent maximum processing latency [2] G. Du, C. Zhang, Z. Lu, A. Saggio, and M. Gao, “Worst-case performance analysis of 2-d mesh nocs using multi-path minimal routing,” in ISSS+CODES 2012.

NC Model for Multi-path Routing Step 3 –Calculation of Worst-case Reorder Buffer Size.

Algorithm Step 1 Path identification Step 2 ESC calculation Step 3 Worst case reorder buffer size calculation

Outline 1 Motivation 2 Concepts 3 Method 4 Evaluation

Evaluation Experiments targets – △ D ~ ? –↓ ? Experiments methods –Synthetic pattern –Industry pattern

Flow typearrival curveService curve Target flow Contention flows Experiments Setup f(1,16) f(2,12) f(3,8) f(6,11)

Delta Delay VS. Buffer Size The bigger the delay difference, the larger the reorder buffer size To balance the traffic & proper path configuration Maximum reduction: 56.99%

Full Traffic Splitting Target flow: full traffic splitting The more balanced traffic, the smaller the reorder buffer size Average improvement of 57.04%

Simulation Setup –P x =0.1 Results –No packet loss –Fully covered by analytical results

Industry Case Shorter long-path –Max hops: 3 Less number of reorder buffers –Number of reorder buffers: 3

Node 4, 6, and 7 Mapping 1 –Less worst-case reorder buffer size –Shorter path delays Node 4 Node 6 Node 7

Total Size Mapping 2 –Reduction of maximum 36.50% (76 packets) –Average 29.20% (61packets) –Minimum 22.12% (46 packets)

Summary Our analytical model – Reduce worst case reorder buffer size To choose proper sub-flows pairs To alter traffic splitting proportion –Explore mapping effects Reorder buffer size Future work –To extend to more general cases

Conclusion 2 nd priority initiatives Evaluate whether offer DT store more margin is possible Together with other strong brands, communicate “Unilever” company brand more Optimize our promotion pack allocation policy Optimize island display in Northern area, pay more attention to season differences Add more POSMs to more outlets. Using multiple ways to communicate with consumers Thanks for your time