10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22,

Slides:



Advertisements
Similar presentations
Multiprocessor Architecture for Image processing Mayank Kumar – 2006EE10331 Pushpendre Rastogi – 2006EE50412 Under the guidance of Dr.Anshul Kumar.
Advertisements

System-level Architectur Modeling for Power Aware Computing Dexin Li.
© 2004 Wayne Wolf Topics Task-level partitioning. Hardware/software partitioning.  Bus-based systems.
LAB-STICC CNRS UMR 3192 – UBS – ROMAIN VASLIN – CRYPTARCHI 2008 Memory Security Management for FPGA-based Embedded system Romain Vaslin, Guy Gogniat, Jean-Philippe.
The Microprocessor is no more General Purpose. Design Gap.
A Survey of Logic Block Architectures For Digital Signal Processing Applications.
Lecture 9: Coarse Grained FPGA Architecture October 6, 2004 ECE 697F Reconfigurable Computing Lecture 9 Coarse Grained FPGA Architecture.
5th International Conference, HiPEAC 2010 MEMORY-AWARE APPLICATION MAPPING ON COARSE-GRAINED RECONFIGURABLE ARRAYS Yongjoo Kim, Jongeun Lee *, Aviral Shrivastava.
FPGA Latency Optimization Using System-level Transformations and DFG Restructuring Daniel Gomez-Prado, Maciej Ciesielski, and Russell Tessier Department.
Embedded Software Optimization for MP3 Decoder Implemented on RISC Core Yingbiao Yao, Qingdong Yao, Peng Liu, Zhibin Xiao Zhejiang University Information.
Zheming CSCE715.  A wireless sensor network (WSN) ◦ Spatially distributed sensors to monitor physical or environmental conditions, and to cooperatively.
Preventing Piracy and Reverse Engineering of SRAM FPGAs Bitstream Lilian Bossuet 1,
Lecture 26: Reconfigurable Computing May 11, 2004 ECE 669 Parallel Computer Architecture Reconfigurable Computing.
Department of Electrical and Computer Engineering Configurable computing for high-security/high-performance ambient systems 1 Guy Gogniat, Lilian Bossuet,
Data Partitioning for Reconfigurable Architectures with Distributed Block RAM Wenrui Gong Gang Wang Ryan Kastner Department of Electrical and Computer.
Storage Assignment during High-level Synthesis for Configurable Architectures Wenrui Gong Gang Wang Ryan Kastner Department of Electrical and Computer.
Adaptive System on a Chip (aSoC) for Low-Power Signal Processing Andrew Laffely, Jian Liang, Prashant Jain, Ning Weng, Wayne Burleson, Russell Tessier.
UCB November 8, 2001 Krishna V Palem Proceler Inc. Customization Using Variable Instruction Sets Krishna V Palem CTO Proceler Inc.
HW/SW Co-Synthesis of Dynamically Reconfigurable Embedded Systems HW/SW Partitioning and Scheduling Algorithms.
1 Embedded Computer System Laboratory RTOS Modeling in Electronic System Level Design.
Tiled Processing Systems
ECE669: Lecture 24 aSoC: A Scalable On-Chip Communication Architecture Russell Tessier, Jian Liang, Andrew Laffely, and Wayne Burleson University of Massachusetts,
Yongjoo Kim*, Jongeun Lee**, Jinyong Lee*, Toan Mai**, Ingoo Heo* and Yunheung Paek* *Seoul National University **UNIST (Ulsan National Institute of Science.
Paper Review I Coarse Grained Reconfigurable Arrays Presented By: Matthew Mayhew I.D.# ENG*6530 Tues, June, 10,
A Flexible Interconnection Structure for Reconfigurable FPGA Dataflow Applications Gianluca Durelli, Alessandro A. Nacci, Riccardo Cattaneo, Christian.
B212/MAPLD 2005 Craven1 Configurable Soft Processor Arrays Using the OpenFire Processor Stephen Craven Cameron Patterson Peter Athanas Configurable Computing.
A Reconfigurable Processor Architecture and Software Development Environment for Embedded Systems Andrea Cappelli F. Campi, R.Guerrieri, A.Lodi, M.Toma,
Coarse and Fine Grain Programmable Overlay Architectures for FPGAs
Architectures for mobile and wireless systems Ese 566 Report 1 Hui Zhang Preethi Karthik.
COMPUTER SCIENCE &ENGINEERING Compiled code acceleration on FPGAs W. Najjar, B.Buyukkurt, Z.Guo, J. Villareal, J. Cortes, A. Mitra Computer Science & Engineering.
Software Pipelining for Stream Programs on Resource Constrained Multi-core Architectures IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEM 2012 Authors:
A RISC ARCHITECTURE EXTENDED BY AN EFFICIENT TIGHTLY COUPLED RECONFIGURABLE UNIT Nikolaos Vassiliadis N. Kavvadias, G. Theodoridis, S. Nikolaidis Section.
Automated Design of Custom Architecture Tulika Mitra
1 Rapid Estimation of Power Consumption for Hybrid FPGAs Chun Hok Ho 1, Philip Leong 2, Wayne Luk 1, Steve Wilton 3 1 Department of Computing, Imperial.
Efficient Mapping onto Coarse-Grained Reconfigurable Architectures using Graph Drawing based Algorithm Jonghee Yoon, Aviral Shrivastava *, Minwook Ahn,
1 Towards Optimal Custom Instruction Processors Wayne Luk Kubilay Atasu, Rob Dimond and Oskar Mencer Department of Computing Imperial College London HOT.
Page 1 Reconfigurable Communications Processor Principal Investigator: Chris Papachristou Task Number: NAG Electrical Engineering & Computer Science.
Embedding Constraint Satisfaction using Parallel Soft-Core Processors on FPGAs Prasad Subramanian, Brandon Eames, Department of Electrical Engineering,
Reconfigurable Computing Using Content Addressable Memory (CAM) for Improved Performance and Resource Usage Group Members: Anderson Raid Marie Beltrao.
COARSE GRAINED RECONFIGURABLE ARCHITECTURE FOR VARIABLE BLOCK SIZE MOTION ESTIMATION 03/26/
ISSS 2001, Montréal1 ISSS’01 S.Derrien, S.Rajopadhye, S.Sur-Kolay* IRISA France *ISI calcutta Combined Instruction and Loop Level Parallelism for Regular.
DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE Novel, Emerging Computing System Technologies Smart Technologies for Effective Reconfiguration: The FASTER approach.
1 - CPRE 583 (Reconfigurable Computing): Reconfigurable Computing Architectures Iowa State University (Ames) Reconfigurable Architectures Forces that drive.
EKT303/4 PRINCIPLES OF PRINCIPLES OF COMPUTER ARCHITECTURE (PoCA)
A Hybrid Design Space Exploration Approach for a Coarse-Grained Reconfigurable Accelerator Farhad Mehdipour, Hamid Noori, Hiroaki Honda, Koji Inoue, Kazuaki.
COARSE GRAINED RECONFIGURABLE ARCHITECTURES 04/18/2014 Aditi Sharma Dhiraj Chaudhary Pruthvi Gowda Rachana Raj Sunku DAY
Jason Li Jeremy Fowers 1. Speedups and Energy Reductions From Mapping DSP Applications on an Embedded Reconfigurable System Michalis D. Galanis, Gregory.
A Programmable Single Chip Digital Signal Processing Engine MAPLD 2005 Paul Chiang, MathStar Inc. Pius Ng, Apache Design Solutions.
Metrics for Reconfigurable Architectures Characterization: Remanence and Scalability Pascal BENOIT G. Sassatelli – L. Torres – D. Demigny M. Robert – G.
High Performance, Low Power Reconfigurable Processor for Embedded Systems Farhad Mehdipour, Hamid Noori, Koji Inoue, Kazuaki Murakami Kyushu University,
Survey of multicore architectures Marko Bertogna Scuola Superiore S.Anna, ReTiS Lab, Pisa, Italy.
WARP PROCESSORS ROMAN LYSECKY GREG STITT FRANK VAHID Presented by: Xin Guan Mar. 17, 2010.
Mapping of Regular Nested Loop Programs to Coarse-grained Reconfigurable Arrays – Constraints and Methodology Presented by: Luis Ortiz Department of Computer.
1 - CPRE 583 (Reconfigurable Computing): Reconfigurable Computing Architectures Iowa State University (Ames) CPRE 583 Reconfigurable Computing Lecture.
1 Hardware-Software Co-Synthesis of Low Power Real-Time Distributed Embedded Systems with Dynamically Reconfigurable FPGAs Li Shang and Niraj K.Jha Proceedings.
High-Bandwidth Packet Switching on the Raw General-Purpose Architecture Gleb Chuvpilo Saman Amarasinghe MIT LCS Computer Architecture Group January 9,
Hyunchul Park†, Kevin Fan†, Scott Mahlke†,
1 Power-Aware System on a Chip A. Laffely, J. Liang, R. Tessier, C. A. Moritz, W. Burleson University of Massachusetts Amherst Boston Area Architecture.
1 of 14 Lab 2: Formal verification with UPPAAL. 2 of 14 2 The gossiping persons There are n persons. All have one secret to tell, which is not known to.
A Low-Area Interconnect Architecture for Chip Multiprocessors Zhiyi Yu and Bevan Baas VLSI Computation Lab ECE Department, UC Davis.
Philipp Gysel ECE Department University of California, Davis
Runtime Reconfigurable Network-on- chips for FPGA-based systems Mugdha Puranik Department of Electrical and Computer Engineering
Topics Coarse-grained FPGAs. Reconfigurable systems.
Dynamo: A Runtime Codesign Environment
Ph.D. in Computer Science
CGRA Express: Accelerating Execution using Dynamic Operation Fusion
Targeting Tiled Architectures in Design Exploration
Dynamically Reconfigurable Architectures: An Overview
Hyunchul Park, Kevin Fan, Manjunath Kudlur,Scott Mahlke
Department of Electrical Engineering Joint work with Jiong Luo
Presentation transcript:

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Targeting Tiled Architectures in Design Exploration Lilian Bossuet 1, Wayne Burleson 2, Guy Gogniat 1, Vikas Anand 2, Andrew Laffely 2, Jean-Luc Philippe 1 1 LESTER Lab Université de Bretagne Sud Lorient, France {lilian.bossuet, guy.gogniat, 2 Department of Electrical and Computer Engineering University of Massachusetts, Amherst, USA {burleson, vanand,

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Outline u Introduction: Design Space Exploration u Design Space of Reconfigurable Architecture u A Target Architecture: aSoC u Proposition of Design Space Exploration Flow u Results u Conclusion and Future Work

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Design Space Exploration: Motivations u Design solutions for new telecommunication and multimedia applications targeting embedded systems u Optimization and reduction of SoC power consumption u Increase computing performance è Increase parallelism è Increase speed u Be flexible è Take into account run-time reconfiguration è Targeting multi-granularity (heterogeneous) architectures

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Design Space Exploration: Flow u Progressive design space reduction: è iterative exploration è refinement of architecture model  increase of performance estimation accuracy u One level of abstraction for one level of estimation accuracy

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Outline u Introduction: Design Exploration Flow Principe u Design Space of Reconfigurable Architecture u A Target Architecture: aSoC u Proposition of Design Space Exploration Flow u Results u Conclusion and Future Works

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Reconfigurable Architectures u Bridging the flexibility gap between ASICs and microprocessor [Hartenstein DATE 2001] u Energy efficient and solution to low power programmable DSP [Rabaey ICASSP 1997, FPL 2000] u Run Time Reconfigurable [Compton & Hauck 1999] u => A key ingredient for future silicon platforms [Schaumont & all. DAC 2001]

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Design Space of Reconfigurable Architecture RECONFIGURABLE ARCHITECTURES (R-SOC) FINE GRAIN (FPGA) MULTI GRANULARITY (Heterogeneous) COARSE GRAIN (Systolic) Processor + Coprocessor Tile-Based Architecture Coarse Grain Coprocessor Fine Grain Coprocessor Island Topology Hierarchical Topology Linear Topology Hierarchical Topology Mesh Topology Chameleon REMARC Morphosys Pleiades Garp FIPSOC Triscend E5 Triscend A7 Xilinx Virtex-II Pro Altera Excalibur Atmel FPSIC Xilinx Virtex Xilinx Spartran Atmel AT40K Lattice ispXPGA Altera Stratix Altera Apex Altera Cyclone Systolic Ring RaPiD PipeRench DART FPFA RAW CHESS MATRIX KressArray Systolix Pulsedsp aSoC E-FPFA

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Outline u Introduction: Design Exploration Flow Principe u Design Space of Reconfigurable Architecture u A Target Architecture: aSoC u Proposition of Design Space Exploration Flow u Results u Conclusion and Future Works

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 A Target Architecture: aSoC u Adaptive System-on-a-Chip (aSoC) u Tiled architecture containing many heterogeneous processing cores (RISC, DSP, FPGA, Motion Estimation, Viterbi Decoder) u Mesh communication network controlled with statically determined communication schedule u A scalable architecture.

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 tile FPGA uProc MUL u Heterogeneous Cores aSoC Architecture u Point-to-point connections ctrl SouthCore West North East u Communication Interface

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 aSoC Communications Interface Core Coreports Decoder Local Frequency & Voltage North to South & East Instruction Memory PC Controller North South East West LocalConfig. North South East West Inputs Outputs u Interface Crossbar è inter-tile transfer è tile to core transfer u Interconnect/Instruction Memory è contains instructions to configure the interface crossbar (cycle-by- cycle) u Interface Controller è selects the instruction u Coreports è data interface and storage for transfers with the tile IP core u Dynamic Voltage and Frequency Selection è Dynamic Power Management Interface Crossbar

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 aSoC Exploration... u Type of tiles u Number of each type of tile u Placement of the tiles u Intern architecture of reconfigurable tiles (FPGA core) u Communication scheduling

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Outline u Introduction: Design Exploration Flow Principe u Design Space of Reconfigurable Architecture u A Target Architecture: aSoC u Proposition of Design Space Exploration Flow u Results u Conclusion and Future Work

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Design Space Exploration: Goals u Goal: Rapid exploration of various architectural solutions to be implemented on heterogeneous reconfigurable architectures (aSoC) in order to select the most efficient architecture for one or several applications u Take place before architectural synthesis (algorithmic specification with high level abstraction language) u Estimations are based on a functional architecture model (generic, technology-independent) u Iterative exploration flow to progressively refine the architecture definition, from a coarse model to a dedicated model

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Design Exploration Flow Targeting Tiled Architecture

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Application Analysis u Use of algorithmic metrics and dedicated scheduling algorithms to highlight the target architectures u Algorithmic metrics: è Characterize the application orientation Processing Memory Control è Characterize the application potential parallelism Processing Memory

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Tile Exploration: with 3 steps u Projection: è Link between necessary resources (application) and available resources (tile) è Use of an allocation algorithm based on communication costs reduction u Composition: è Take into account of the function scheduling to estimate additional resources (register, mux, …) u Estimation: è performance interval computation (lower and upper bounds) è speed/resource utilization/power characterization

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 aSoC Builder u Environment AppMapper u Partition and assignment è based on Run Time Estimation u Compilation è Communication Scheduling è Core compilation u Generate tiles configuration è Communications instructions è Bitstreams (for reconfigurable tile) è RISC instructions

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 aSoC Analysis u Use the results of previous steps è Functions scheduling è Tile allocation è Communication scheduling u Complete estimation of the proposed solution è Global execution time è Global power consumption è Total area

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Outline u Introduction: Design Exploration Flow Principe u Design Space of Reconfigurable Architecture u A Target Architecture: aSoC u Proposition of Design Space Exploration Flow u Results u Conclusion and Future Work

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Results u aSoC architecture (UMASS) è Prototype of aSoC interconnect Technology 0.18 µm Clock speed of 400 MHz u AppMapper (UMASS) è Several mapped applications Matrix operations Median Filter Viterbi decoder DCT u Tile exploration (UBS) è Application analysis Intelligent Camera (motion detection) Matching Pursuit è Projection step Lee DCT Matrix operations

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Outline u Introduction: Design Exploration Flow Principe u Design Space of Reconfigurable Architecture u A Target Architecture: aSoC u Proposition of Design Space Exploration Flow u Results u Conclusion and Future Work

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Conclusion and future work u Conclusion è Original design exploration flow working at a high level of abstraction è Fast and flexible (use of functional view of the architectures) è Targeting an efficient reconfigurable architecture: aSoC è Statically-scheduled, point-to-point communications u Future Work è Development of larger set of design exploration benchmarks è Exploration of other configurable systems

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Thank you...

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Previous Work u Xplorer - University of Kaiserslautern, Germany [Hartenstein PATMOS 2000] è Targets a mesh coarse grain architecture: The KressArray a fast reconfigurable ALUs è Gives design guidance concerning: the size of the array, the available operators, the communication architecture and the connection structure. è Controlled by performance and power estimations. è Starts with high level specification of application (ALE-X language). u RAW - Massachusetts Institute of Technology, USA [Moritz FCCM 1998] è Targets a reminiscent coarse grained FPGA: The MIT Raw Microprocessor è Answers to the balance problem: to determine the best division of VLSI resources among computing, memory and communication. è Answers to the grain problem: to determine the optimum size of each architecture tiles è Use several models: architecture model, costs model and performance model

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 HCDFG: Hierarchical Control Data Flow Graph Task 1Task 2 Task 1 F2 F1 F5 F4 F3 HCDFG HDFG LOOP DFG CDFG DFG Loop CORE X Y# C Y MAC ALU A

10th Reconfigurable Architecture Workshop, RAW’03, Nice, France, Tuesday, April 22, 2003 Application’s Metrics Average Parallelism metric (a lot of parallelism if γ is high) Nb of global memory accesses and processing operations Critical Path γ = Nb of global memory accesses Nb of processing operations + Nb of global memory accesses MOM = Memory Orientation Metric [0,1] Nb of test Nb of global mem. accesses + Nb of proc. op. + Nb of test COM = Control Orientation Metric [0,1] Y. Le Moullec, N. Ben Amor, J-Ph. Diguet, M. Abid and J-L. Philippe. Multi-Granularity Metrics for the Era of Strongly Personalized SOCs. In DATE 2002, Munich, Germany, March 2002