Robust Low Power VLSI R obust L ow P ower VLSI Sub-threshold Sense Amplifier (SA) Compensation Using Auto-zeroing Circuitry 01/21/2014 Peter Beshay Department.

Slides:



Advertisements
Similar presentations
CMOS Comparator Data Converters Comparator Professor Y. Chiu
Advertisements

Semiconductor Memory Design. Organization of Memory Systems Driven only from outside Data flow in and out A cell is accessed for reading by selecting.
9/15/05ELEC / Lecture 71 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
C H A P T E R 15 Memory Circuits
Design and Application of Power Optimized High-Speed CMOS Frequency Dividers.
1 Pertemuan 13 Memory Matakuliah: H0362/Very Large Scale Integrated Circuits Tahun: 2005 Versi: versi/01.
Pixel-level delta-sigma ADC with optimized area and power for vertically-integrated image sensors 1 Alireza Mahmoodi and Dileepan Joseph University of.
A Low-Power 9-bit Pipelined CMOS ADC for the front-end electronics of the Silicon Tracking System Yuri Bocharov, Vladimir Butuzov, Dmitry Osipov, Andrey.
Introduction to CMOS VLSI Design Lecture 13: SRAM
Fall 06, Sep 19, 21 ELEC / Lecture 6 1 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic.
SRAM Mohammad Sharifkhani. Effect of Mismatch.
Introduction to CMOS VLSI Design Lecture 18: Design for Low Power David Harris Harvey Mudd College Spring 2004.
11/03/05ELEC / Lecture 181 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Introduction to CMOS VLSI Design SRAM/DRAM
Spring 07, Feb 27 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Power Consumption in a Memory Vishwani D. Agrawal.
Die-Hard SRAM Design Using Per-Column Timing Tracking
Low-Power CMOS SRAM By: Tony Lugo Nhan Tran Adviser: Dr. David Parent.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Modern VLSI Design 2e: Chapter 6 Copyright  1998 Prentice Hall PTR Topics n Memories: –ROM; –SRAM; –DRAM. n PLAs.
Lecture 19: SRAM.
Lecture 7: Power.
Parts from Lecture 9: SRAM Parts from
Low Voltage Low Power Dram
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Mrinmoy Ghosh Weidong Shi Hsien-Hsin (Sean) Lee
Case Study - SRAM & Caches
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 28: November 15, 2013 Memory Periphery.
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
High Speed 64kb SRAM ECE 4332 Fall 2013 Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto.
Review: Basic Building Blocks  Datapath l Execution units -Adder, multiplier, divider, shifter, etc. l Register file and pipeline registers l Multiplexers,
Washington State University
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 28: November 16, 2012 Memory Periphery.
1 Review Of “A 125 MHz Burst-Mode Flexible Read While Write 256Mbit 2b/c 1.8V NOR Flash Memory” Adopted From: “ISSCC 2005 / SESSION 2 / NON-VOLATILE MEMORY.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n Latches and flip-flops. n RAMs and ROMs.
Mixed Signal Chip LAB.Kyoung Tae Kang Dynamic Offset Cancellation Technique KyoungTae Kang, Kyusun Choi CSE598A/EE597G Spring 2006.
SRAM DESIGN PROJECT PHASE 2 Nirav Desai VLSI DESIGN 2: Prof. Kia Bazargan Dept. of ECE College of Science and Engineering University of Minnesota,
הפקולטה למדעי ההנדסה Faculty of Engineering Sciences.
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 12.1 EE4800 CMOS Digital IC Design & Analysis Lecture 12 SRAM Zhuo Feng.
CSE477 L24 RAM Cores.1Irwin&Vijay, PSU, 2002 CSE477 VLSI Digital Circuits Fall 2002 Lecture 24: RAM Cores Mary Jane Irwin ( )
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 24: November 5, 2010 Memory Overview.
A 256kb Sub-threshold SRAM in 65nm CMOS
McKenneman, Inc. SRAM Proposal Design Team: Jay Hoffman Tory Kennedy Sholanda McCullough.
Robust Low Power VLSI R obust L ow P ower VLSI A Method to Implement Low Energy Read Operations, and Single Cycle Write after Read in Subthreshold SRAMs.
XIAOYU HU AANCHAL GUPTA Multi Threshold Technique for High Speed and Low Power Consumption CMOS Circuits.
CSE477 L23 Memories.1Irwin&Vijay, PSU, 2002 CSE477 VLSI Digital Circuits Fall 2002 Lecture 23: Semiconductor Memories Mary Jane Irwin (
Low-Power SRAM ECE 4332 Fall 2010 Team 2: Yanran Chen Cary Converse Chenqian Gan David Moore.
Impact of Process Variation on Input Referred Current Offset in Current Sense Amplifiers Riya Shergill Meenakshi Sekhar.
A 10b Ternary SAR (TSAR) ADC with Decision Time Quantization Based Redundancy Jon Guerber, Manideep Gande, Hariprasath Venkatram, Allen Waters, Un-Ku Moon.
Project SRAM Stevo Bailey Kevin Linger Roger Lorenzo John Thompson ECE 4332: Intro to VLSI.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 28: November 16, 2011 Memory Periphery.
Content Addressable Memories
CMPEN 411 VLSI Digital Circuits Spring 2009 Lecture 22: Memery, ROM
CMPEN 411 VLSI Digital Circuits Spring 2009 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey’s Digital Integrated Circuits, Second Edition,
Low-Power BIST (Built-In Self Test) Overview 10/31/2014
Patricia Gonzalez Divya Akella VLSI Class Project.
Solid-State Devices & Circuits
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 28: November 7, 2014 Memory Overview.
SRAM Design for SPEED GROUP 2 Billy Chantree Daniel Sosa Justin Ferrante.
Robust Low Power VLSI R obust L ow P ower VLSI A Method to Implement Low Energy Read Operations, and Single Cycle Write after Read in Subthreshold SRAMs.
EE415 VLSI Design THE INVERTER [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Robust Low Power VLSI R obust L ow P ower VLSI Deliberate Practice Variation-Resilient Building Blocks for Ultra-Low-Energy Sub-Threshold Design Alicia,
Masaya Miyahara, James Lin, Kei Yoshihara and Akira Matsuzawa Tokyo Institute of Technology, Japan A 0.5 V, 1.2 mW, 160 fJ, 600 MS/s 5 bit Flash ADC.
Seok-jae, Lee VLSI Signal Processing Lab. Korea University
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 28: November 8, 2013 Memory Overview.
Low Power SRAM VLSI Final Presentation Stephen Durant Ryan Kruba Matt Restivo Voravit Vorapitat.
CSE477 L25 Memory Peripheral.1Irwin&Vijay, PSU, 2003 CSE477 VLSI Digital Circuits Fall 2003 Lecture 25: Peripheral Memory Circuits Mary Jane Irwin (
M. Atef, Hong Chen, and H. Zimmermann Vienna University of Technology
YASHWANT SINGH, D. BOOLCHANDANI
A KICK-BACK REDUCED COMPARATOR FOR A 4-6-BIT 3-GS/S FLASH ADC
Semiconductor Memories
Presentation transcript:

Robust Low Power VLSI R obust L ow P ower VLSI Sub-threshold Sense Amplifier (SA) Compensation Using Auto-zeroing Circuitry 01/21/2014 Peter Beshay Department of Electrical Engineering University of Virginia, Charlottesville

Robust Low Power VLSI Outline  Motivation  Introduction  DAZ Circuit  16kB SRAM  Chip Measurements  Conclusion 2

Robust Low Power VLSI Motivation 3 Source: IdeaConnection.com Source: groups.csail.edu/ Source: Implantable-device.com

Robust Low Power VLSI Motivation 4 SRAM are used in implantable devices  Contribute significantly to the total System-on-chip (SOC) power consumption SRAM Power Consumption (1) (1) N. Verma, Phd thesis

Robust Low Power VLSI Motivation 5 Minimum Energy occurs in sub-threshold [1] E active = CV DD 2 E total /operation minimized in sub-V T Main Limitations Process Variations effect, Slow Speed VDD (V) Normalized Energy (1) N. Verma, Phd thesis Energy Consumption vs. VDD (1)

Robust Low Power VLSI Motivation 6 Work Focus Minimizing the energy of the read operation of sub-threshold SRAMs.  Sense Amplifier are utilized during the read operation of the SRAMs.  The intrinsic offset voltage of the SAs causes increased read energy and degraded performance of the SRAM read operation [2].

Robust Low Power VLSI Outline  Introduction  DAZ Circuit  16kB SRAM  Chip Measurements  Conclusion 7

Robust Low Power VLSI Sense Amplifier

Robust Low Power VLSI 9 SA Offset Voltage

Robust Low Power VLSI 10 SA Offset Voltage

Robust Low Power VLSI SAE Row Decoder 6T Bitcell … 6T SRAM Read Operation

Robust Low Power VLSI SAE Row Decoder 6T Bitcell … 6T SRAM Read Operation

Robust Low Power VLSI SAE Row Decoder 6T Bitcell … 6T SRAM Read Operation

Robust Low Power VLSI SAE Row Decoder 6T Bitcell … 6T SRAM Read Operation

Robust Low Power VLSI SAE Row Decoder 6T Bitcell … 6T SRAM Read Operation

Robust Low Power VLSI SAE Row Decoder 6T Bitcell … WL 6T SRAM Read Operation

Robust Low Power VLSI SAE Row Decoder 6T Bitcell … 01 WL 6T SRAM Read Operation

Robust Low Power VLSI SAE Row Decoder 6T Bitcell … 01 WL 6T SRAM Read Operation

Robust Low Power VLSI SAE Row Decoder 6T Bitcell … 01 WL ∆V 6T SRAM Read Operation

Robust Low Power VLSI SAE Row Decoder 6T Bitcell … 01 WL SAE ∆V 6T SRAM Read Operation

Robust Low Power VLSI SAE Row Decoder 6T Bitcell … 01 WL SAE ∆V 6T SRAM Read Operation

Robust Low Power VLSI SAE Row Decoder 6T Bitcell … 01 WL SAE Pre-charge ∆V 6T SRAM Read Operation

Robust Low Power VLSI SAE Row Decoder 6T Bitcell … 01 WL SAE Pre-charge ∆V 6T SRAM Read Operation

Robust Low Power VLSI SAE Row Decoder 6T Bitcell … 01 WL SAE Pre-charge ∆V 6T SRAM Read Operation

Robust Low Power VLSI PMOS-input Latch SA BL OUT M5 M6 M1 M2 M3 M4 Cross coupled inverter to latch the output Sense the input voltage Enable the SA Precharge the output to VDD 25

Robust Low Power VLSI BL=0.45V OUT M5 M6 M1 M2 M3 M4 EN 26 PMOS-input Latch SA

Robust Low Power VLSI BL=0.45V OUT M5 M6 M1 M2 M3 M4 EN 27 PMOS-input Latch SA

Robust Low Power VLSI Offset Voltage BL=0.5 OUT M5 M6 M1 M2 M3 M4 28

Robust Low Power VLSI 29 Digital Auto-zeroing (DAZ) We propose a digital auto-zeroing (DAZ) scheme inspired by analog amplifier offset correction. The main advantages of the approach are Near-zero offset after cancellation. Suitable for sub-threshold operation due to the repeated offset compensation phase. Several attempts have been made before to tackle the problem including: Redundancy [3] Transistor upsizing [4] Digitally controlled compensation [5]

Robust Low Power VLSI Outline  Introduction  DAZ Circuit  16kB SRAM  Chip Measurements  Conclusion 30

Robust Low Power VLSI Auto-zeroing in analog amplifiers Amplification is done in two phases Φ1: Sample the offset on a capacitor Φ2: Subtract the offset from the input signal (2) K Kang et al, “Dynamic Offset Cancellation Technique” cse.psu.edu/~chip/course/analog/insoo/S04AmpOffset.ppt‎ Dynamic Offset Cancellation (2)

Robust Low Power VLSI DAZ Scheme Phase1 (ENR1) A zero differential input is applied to the sense amp. Phase2 (ENO) The SA resolves based on its intrinsic offset.

Robust Low Power VLSI DAZ Scheme Phase3 (ENR2) The differential input is applied to the sense amp. Phase4 (ENI) The SA resolves based on the differential input.

Robust Low Power VLSI DAZ Circuit ENR1 OUT M5 M6 M1 M2 M3 M4 ENR1 ENR2 ENI BL ENR2 ENI MC2 MC1 DAZ circuit applied to a latch-based sense amp with PMOS inputs DAZ circuit uses a split-phase clock and charge pump (CP) feedback circuit for repetitive compensation. Charge Pump

Robust Low Power VLSI DAZ Circuit ENR1 OUT M5 M6 M1 M2 M3 M4 ENR1 ENR2 ENI BL ENR2 ENI MC2 MC1 Charge Pump Transistors MC1 and MC2 control the drive strength of the right side of the SA. The CP controls the drive current in both MC1 and MC2 to equalize the strength of the SA right and left sides.

Robust Low Power VLSI DAZ Circuit ENR1 OUT M5 M6 M1 M2 M3 M4 ENR1 ENR2 ENI BL ENR2 ENI MC2 MC1 M11 ENO ENR2 M9 M10 M12 M13 Cp Charge Pump

Robust Low Power VLSI Phase 1 ENR1 OUT M5 M6 M1 M2 M3 M4 ENR1 ENR2 ENI BL ENR2 ENI MC2 MC1 M11 ENO ENR2 M12 M13 Cp M9 M10 ER1: A zero differential input is applied to the sense amp. Charge Pump

Robust Low Power VLSI Phase 2 ENR1 OUT M5 M6 M1 M2 M3 M4 ENR1 ENR2 ENI BL ENR2 ENI MC2 MC1 M11 ENO ENR2 M12 M13 Cp M9 M10 ENO: The SA resolves based on its intrinsic offset. Charge Pump

Robust Low Power VLSI Phase 3 ENR1 OUT M5 M6 M1 M2 M3 M4 ENR1 ENR2 ENI BL ENR2 ENI MC2 MC1 M11 ENO ENR2 M12 M13 Cp M9 M10 ER2: The differential input is applied to the sense amp. Charge Pump ∆v

Robust Low Power VLSI Phase 4 ENR1 OUT M5 M6 M1 M2 M3 M4 ENR1 ENR2 ENI BL ENR2 ENI MC2 MC1 M11 ENO ENR2 M12 M13 Cp M9 M10 ENI: The SA resolves based on the differential input. Charge Pump

Robust Low Power VLSI 41 Precision The precision of the scheme depends on the accuracy of setting the voltage on the output capacitor (Cp). Settling Time = 60us

Robust Low Power VLSI 42 Offset Tuning Accuracy (offset voltage) vs. settling time trade-off through Cp tuning. Cp=0.74pF Cp=0.43pF Cp=0.24pF Cp=0.14pF Cp=0.13pF

Robust Low Power VLSI Outline  Introduction  DAZ Circuit  16kB SRAM  Chip Measurements  Conclusion 43

Robust Low Power VLSI 44 16kB SRAM Test-case A 20mV DAZ SA is used in a 16kB SRAM with 1bank, 512 rows and 256 columns using commercial 45nm technology node [6]. 10% reduction of the read energy 24% reduction of the read delay 45nm technology test chip. One regular SA array for benchmarking DAZ SA array with Cp=32fF. DAZ circuit limits the absolute value of the maximum offset to 50 mV and provided 80% improvement in σ [6]. Chip Measurements

Robust Low Power VLSI 45 Limitation Area overhead (major concern in SRAM designs) 2.5X for 50mV offset compensation Can be significant for small offsets Energy overhead of the continuous calibration (split phases, charge pump) 3.5X the energy of a regular SA Sensitivity to split phase frequency.

Robust Low Power VLSI Outline  Introduction  DAZ Circuit  16kB SRAM  Chip Measurements  Conclusion 46

Robust Low Power VLSI 47 Conclusion We proposed a circuit that is capable of improving sense-amp offset to near zero, which is valuable for sub-threshold operation due to the repeated calibration phase. Applying the scheme on a 16 kB SRAM in 45nm technology node showed a reduction in the total energy and delay of 10% and 24% respectively. Measurements from a test chip fabricated in 45 nm technology showed the circuit’s‎ ability ‎to ‎limit‎ the absolute maximum value of the offset voltage to 50 mV using a 32fF output capacitance.

Robust Low Power VLSI 48 References 1.B. H. Calhoun et al. "Sub-threshold circuit design with shrinking CMOS devices." ISCAS J. Ryan et al. “Minimizing Offset for Latching Voltage-Mode Sense Amplifiers for Sub-threshold Operation” ISQED N. Verma et al. “A 256 kb 65 nm 8T Sub-threshold SRAM Employing Sense-Amplifier Redundancy” ISSCC L. Pileggi et al. “Mismatch Analysis & Statistical Design” CICC M. Bhargava et al. “Low-Overhead, Digital Offset Compensated, SRAM Sense Amplifiers” CICC P. Beshay et al. "A Digital Auto-Zeroing Circuit to Reduce Offset in Sub- Threshold Sense Amplifiers." JLPEA 2013

Robust Low Power VLSI 49 Questions