НАЦИОНАЛЬНЫЙ ИССЛЕДОВАТЕЛЬСКИЙ

Slides:



Advertisements
Similar presentations
HDL Programming Fundamentals
Advertisements

UNIT 8: Synthesis Basics
3-13 Alternate Logic-Gate Representations
An Introduction to Verilog: Transitioning from VHDL
Tutorial 2 Sequential Logic. Registers A register is basically a D Flip-Flop A D Flip Flop has 3 basic ports. D, Q, and Clock.
1/8/ VerilogCopyright Joanne DeGroat, ECE, OSU1 Verilog Overview An overview of the Verilog HDL.
//HDL Example 8-2 // //RTL description of design example (Fig.8-9) module Example_RTL (S,CLK,Clr,E,F,A);
ENEL111 Digital Electronics
Counters Discussion D8.3.
VHDL Lecture 1 Megan Peck EECS 443 Spring 08.
Sequential Circuits Storage elements
CDA 3100 Recitation Week 11.
The Verilog Hardware Description Language
OBJECTIVES Learn the history of HDL Development. Learn how the HDL module is structured. Learn the use of operators in HDL module. Learn the different.
Synchronous Sequential Logic
INTRO TO VHDL Appendix A: page page VHDL is an IEEE and ANSI standard. VHDL stands for Very High Speed IC hardware description language.
Chapter 11 Verilog HDL Application-Specific Integrated Circuits Michael John Sebastian Smith Addison Wesley, 1997.
CPEN Digital System Design
ECE Synthesis & Verification - Lecture 2 1 ECE 667 Spring 2011 ECE 667 Spring 2011 Synthesis and Verification of Digital Circuits High-Level (Architectural)
SYEN 3330 Digital SystemsJung H. Kim Chapter SYEN 3330 Digital Systems Chapters 4 – Part3: Verilog – Part 1.
Quick Start to VHDL VHDL Very Hard Difficult Language Very Hard Difficult Language!!!!!!!
CSE 201 Computer Logic Design * * * * * * * Verilog Modeling
1 Design a state machine to display a character of string HELLO using a seven segment display How many state we need? –Five, one for each character –In.
Introduction to VHDL CLASS MATERIALS EECE 255. Very High Speed Integrated Circuit Hardware Description Language Industry standard language to describe.
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
How to get a Circuit in verilog converted to hspice, connected to the micron package models, and simulating in hspice and hsimplus.
FSM examples.
Mridula Allani Fall 2010 (Refer to the comments if required) ELEC Fall 2010, Nov 21(Adopted from Profs. Nelson and Stroud)
Hardware Description Languages Drawing of circuit schematics is not practical for circuits containing more than few tens of gates. We need a way to just.
Introduction to VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T1: Combinational Logic Circuits.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
FPGAs and VHDL Lecture L12.1. FPGAs and VHDL Field Programmable Gate Arrays (FPGAs) VHDL –2 x 1 MUX –4 x 1 MUX –An Adder –Binary-to-BCD Converter –A Register.
Silicon Programming--Intro. to HDLs1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities.
ECE 331 – Digital System Design Course Introduction and VHDL Fundamentals (Lecture #1)
Figure 1.1 The Altera UP 3 FPGA Development board
Fall 08, Oct 29ELEC Lecture 7 (updated) 1 Lecture 7: VHDL - Introduction ELEC 2200: Digital Logic Circuits Nitin Yogi
FAMU-FSU College of Engineering EEL 3705 / 3705L Digital Logic Design Fall 2006 Instructor: Dr. Michael Frank Module #29: Supplemental Topics: Hardware.
An Introduction to VHDL Using Altera’s Quartus II IDE Dr. William M. Jones Coastal Carolina University Numbers and Bytes Meeting 20 OCT 2008.
System Arch 2008 (Fire Tom Wada) /10/9 Field Programmable Gate Array.
Introduction Verilog is a HARDWARE DESCRIPTION LANGUAGE (HDL) A hardware description language is a language or means used to describe or model a digital.
VHDL Introduction. V- VHSIC Very High Speed Integrated Circuit H- Hardware D- Description L- Language.
FPGA-Based System Design Copyright  2004 Prentice Hall PTR Logic Design Process n Functional/ Non-functional requirements n Mapping into an FPGA n Hardware.
Chapter 0 deSiGn conCepTs EKT 221 / 4 DIGITAL ELECTRONICS II.
AHDL: Introduction. Hardware Description Languages Altera hardware description language (AHDL) Very high speed integrated circuit (VHSIC) hardware description.
Copyright © 1997 Altera Corporation 6/5/2016 P.1 AHDL Training Class Danny Mok Altera HK FAE
Introduction to VHDL Spring EENG 2920 Digital Systems Design Introduction VHDL – VHSIC (Very high speed integrated circuit) Hardware Description.
Introduction to VLSI Design – Lec01. Chapter 1 Introduction to VLSI Design Lecture # 11 High Desecration Language- Based Design.
HARDWARE DESCRIPTION LANGUAGE (HDL). What is HDL? A type of programming language for sampling and modeling of electronic & logic circuit designs It can.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
Hardware languages "Programming"-language for modelling of (digital) hardware 1 Two main languages: VHDL (Very High Speed Integrated Circuit Hardware Description.
M.Mohajjel. Digital Systems Advantages Ease of design Reproducibility of results Noise immunity Ease of Integration Disadvantages The real world is analog.
Chapter 5 Introduction to VHDL. 2 Hardware Description Language A computer language used to design circuits with text-based descriptions of the circuits.
Floyd Digital Fundamentals, 9/e Copyright ©2006 by Pearson Education, Inc. Upper Saddle River, New Jersey All rights reserved. Slide 1 Digital Fundamentals.
ELEE 4303 Digital II Introduction to Verilog. ELEE 4303 Digital II Learning Objectives Get familiar with background of HDLs Basic concepts of Verilog.
Apr. 3, 2000Systems Architecture I1 Introduction to VHDL (CS 570) Jeremy R. Johnson Wed. Nov. 8, 2000.
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
May 9, 2001Systems Architecture I1 Systems Architecture I (CS ) Lab 5: Introduction to VHDL Jeremy R. Johnson May 9, 2001.
Verilog Intro: Part 1. Hardware Description Languages A Hardware Description Language (HDL) is a language used to describe a digital system, for example,
VHDL From Ch. 5 Hardware Description Languages. History 1980’s Schematics 1990’s Hardware Description Languages –Increased due to the use of Programming.
1 - CPRE 583 (Reconfigurable Computing): VHDL overview 1 Iowa State University (Ames) CPRE 583 Reconfigurable Computing Lecture 2: 8/26/2011 (VHDL Overview.
Combinational Logic Design
Subject Name: FUNDAMENTALS OF HDL Subject Code: 10EC45
Figure 1.1 The Altera UP 1 CPLD development board.
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
CS341 Digital Logic and Computer Organization F2003
HDL Hardware Description Language
مدار منطقی به نام یگانه مهندس هستی مهدی قدیری
Design Methodology & HDL
Presentation transcript:

НАЦИОНАЛЬНЫЙ ИССЛЕДОВАТЕЛЬСКИЙ ТОМСКИЙ ПОЛИТЕХНИЧЕСКИЙ УНИВЕРСИСТЕТ Схемотехника ЭВМ ч.2 Лекция №2. Особенности языков описания аппаратуры AHDL, VerilogHDL, VHDL Мальчуков Андрей Николаевич Томск – 2014

HDL – языки описания аппаратуры AHDL – Altera HDL. Разработан фирмой Altera. Сфокусирован на описание элементов на базе примитивов – абстракций элементов реальной платформы, для которой пишется описание. Можно использовать только для устройств фирмы Altera. VHDL - англ. VHSIC (Very high speed integrated circuits) Hardware Description Language - "язык аппаратного описания для быстродействующих интегрированных цепей". Создан по заказу Пентагона как и ADA. Нет ограничение на использование. Verilog HDL – другой открытый и бурно развивающий стандарт. Нет ограничение на использование. 2 2

Входы/выходы AHDL CLK : INPUT; INSTR_DATA[7..0]: INPUT; REFRESH : OUTPUT; INSTR[7..0] : OUTPUT; Verilog input CLK, input [7:0] INSTR_DATA, output reg REFRESH = 0, output reg [7:0] INSTR = 0, output LCD_STATE output [3:0] INIT_STATE, VHDL CLK: in std_logic; INSTR_DATA: in std_logic_vector (7 downto 0); SBUSY: in boolean; DELAY: out natural range 0 to 2**28-1; ONE_CYCLE: out std_logic; INIT_STATE_OUT: out std_logic_vector (3 downto 0); 3 3

AHDL: инициализация I/O (вх/вых) регистры – набор триггеров DELAY[27..0] : DFFE; REFRESH : DFF; DATA_OUT[3..0] : DFF; LED[8..0] : NODE; led_reg[8..5] : DFFE; DELAY[27..0].clk = CLK; REFRESH.clk = CLK; DATA_OUT[3..0].clk = CLK; led_reg[].clk = CLK; LED[4..0] = NOT lcd_state_reg[]; LED[8..5] = NOT led_reg[]; 4 4

Verilog: регистры и провода reg lcd_state = 0; reg [3:0] init_state = 0; reg [3:0] exec_state = 0; wire MAIN_ST_SIG = main_state > 0 && main_state < 7 ? 1'b1 : 1'b0; assign INIT_STATE = ~init_state; assign EXEC_STATE = ~exec_state; assign LCD_STATE = ~lcd_state; output [3:0] INIT_STATE, output [3:0] EXEC_STATE, output LCD_STATE 5 5

VHDL: переменные VHDL variable init_state_reg : std_logic_vector (3 downto 0); variable epw : natural range 0 to 25; variable first_cycle : natural range 0 to 1; variable lcd_state_reg : std_logic; INIT_STATE_OUT <= not init_state_reg; EXEC_STATE_OUT <= not exec_state_reg; LCD_STATE_OUT <= not lcd_state_reg; 6 6

A-Ver-V -HDL: константы AHDL CONSTANT str_high = X"20312032203320342035203620372038203920313020313120313220313320313420313520313620"; CONSTANT str_low = X"205045A3A54D203C544543543E205045A3A54D203C544543543E205045A3A54D203C544543543E20"; Verilog parameter str_high = " 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 "; parameter str_low = {" PE",8'hA3,8'hA5,"M"," <TECT>"," PE",8'hA3,8'hA5,"M"," <TECT>"," PE",8'hA3,8'hA5,"M"," <TECT> "}; VHDL constant str_high : std_logic_vector (319 downto 0) := X"20312032203320342035203620372038203920313020313120313220313320313420313520313620"; 7 7

A-Ver-V -HDL: работа с регистрами AHDL DFFE: led_reg[].ena = VCC; led_reg[] = X"1"; DFF: WRITE_COMMAND = B"1"; Verilog INSTR <= 8'b0011_XXXX; DELAY <= 28'h2625A00; ONE_CYCLE <= 1'b1; WRITE_COMMAND <= 0; VHDL INSTR <= B"0011_0000"; DELAY <= 16#2625A00#; ONE_CYCLE <= '1'; init_state_reg := X"0"; 8 8

A-Ver-V -HDL: IF (условный оператор) AHDL IF (SBUSY == B"0") THEN IF (delay_command[] == DELAY[]) THEN IF (char_cnt[] == X"28") Verilog if (!SBUSY && !RESET) begin if (delay_command == DELAY) if (char_cnt == 39) VHDL if (SBUSY) then | if (not SBUSY) then if ((ONE_CYCLE = '1') or (ONE_CYCLE = '0' and first_cycle = 0)) then if (delay_command = DELAY) then 9 9

A-Ver-V -HDL: CASE (автомат) AHDL command_state : MACHINE OF BITS(cmd_state_reg[1..0]) WITH STATES(s0 = X"00", s1 = X"01", s2 = X"02", s3 = X"03"); command_state = s1; CASE command_state IS WHEN s0 => Verilog reg [2:0] command_state; command_state <= 1; case (command_state) 0: begin VHDL type state_type is (s0, s1, s2, s3); signal command_state : state_type; command_state <= s1; case command_state is when s0 => 10 10

A-Ver-V -HDL: тактирование AHDL Передний фронт – в CASE (MACHINE OF BITS) Verilog always @(posedge CLK) begin always @(negedge CLK) begin VHDL if (rising_edge(CLK)) then if (falling_edge(CLK)) then 11 11

VHDL: преимущества VHDL OUT_BYTE <= buffer_bytes (7 + 8*send_cnt downto 8*send_cnt); buffer_bytes(7 + 8*receive_cnt downto 8*receive_cnt) := IN_BYTE; RXD <= BYTE (bit_cnt - 1); 12 12

AHDL: деление AHDL Нет оператора деления. Необходимо использовать мегафункцию QuartusII -> Divide. 13 13

Структурно-функциональная схема

НАЦИОНАЛЬНЫЙ ИССЛЕДОВАТЕЛЬСКИЙ ТОМСКИЙ ПОЛИТЕХНИЧЕСКИЙ УНИВЕРСИСТЕТ Схемотехника ЭВМ ч.2 Лекция №2. Особенности языков описания аппаратуры AHDL, VerilogHDL, VHDL Мальчуков Андрей Николаевич Томск – 2014